summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
Diffstat (limited to 'sci-electronics')
-rw-r--r--sci-electronics/NanoVNA-QT/Manifest1
-rw-r--r--sci-electronics/NanoVNA-QT/NanoVNA-QT-20200507.ebuild74
-rw-r--r--sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch40
-rw-r--r--sci-electronics/NanoVNA-QT/metadata.xml11
-rw-r--r--sci-electronics/drahnr-oregano/Manifest1
-rw-r--r--sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild59
-rw-r--r--sci-electronics/drahnr-oregano/metadata.xml21
-rw-r--r--sci-electronics/eagle/Manifest3
-rw-r--r--sci-electronics/eagle/eagle-7.7.0-r1.ebuild129
-rw-r--r--sci-electronics/eagle/eagle-9.6.2-r3.ebuild100
-rw-r--r--sci-electronics/eagle/files/eagle_wrapper_script8
-rw-r--r--sci-electronics/electric/Manifest2
-rw-r--r--sci-electronics/electric/electric-9.07.ebuild (renamed from sci-electronics/electric/electric-9.03.ebuild)11
-rw-r--r--sci-electronics/electric/metadata.xml3
-rw-r--r--sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild4
-rw-r--r--sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild (renamed from sci-electronics/espresso-ab/espresso-ab-1.0-r2.ebuild)15
-rw-r--r--sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild14
-rw-r--r--sci-electronics/freehdl/Manifest1
-rw-r--r--sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch507
-rw-r--r--sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch279
-rw-r--r--sci-electronics/freehdl/freehdl-0.0.8.ebuild32
-rw-r--r--sci-electronics/freehdl/metadata.xml24
-rw-r--r--sci-electronics/fritzing/Manifest2
-rw-r--r--sci-electronics/fritzing/files/fritzing-0.9.6-dropping-register-keyword.patch25
-rw-r--r--sci-electronics/fritzing/files/fritzing-0.9.6-quazip-qt5.patch28
-rw-r--r--sci-electronics/fritzing/fritzing-0.9.4-r3.ebuild (renamed from sci-electronics/fritzing/fritzing-0.9.4-r2.ebuild)4
-rw-r--r--sci-electronics/fritzing/fritzing-0.9.6.ebuild75
-rw-r--r--sci-electronics/gazebo/Manifest5
-rw-r--r--sci-electronics/gazebo/files/gv10.patch45
-rw-r--r--sci-electronics/gazebo/files/protobuf.patch226
-rw-r--r--sci-electronics/gazebo/files/qwt.patch17
-rw-r--r--sci-electronics/gazebo/files/qwt2.patch17
-rw-r--r--sci-electronics/gazebo/gazebo-11.13.0.ebuild (renamed from sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild)17
-rw-r--r--sci-electronics/gazebo/gazebo-11.14.0.ebuild (renamed from sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild)17
-rw-r--r--sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild83
-rw-r--r--sci-electronics/geda/Manifest2
-rw-r--r--sci-electronics/geda/files/geda-1.10.2-drop-xorn.patch60
-rw-r--r--sci-electronics/geda/files/geda-1.10.2-fix-gtk-sheet.patch15
-rw-r--r--sci-electronics/geda/files/geda-1.9.2-fno-common.patch97
-rw-r--r--sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch16
-rw-r--r--sci-electronics/geda/geda-1.10.2-r2.ebuild83
-rw-r--r--sci-electronics/geda/geda-1.9.2-r1.ebuild95
-rw-r--r--sci-electronics/geda/metadata.xml3
-rw-r--r--sci-electronics/gerbv/gerbv-2.7.0.ebuild6
-rw-r--r--sci-electronics/ghdl/Manifest3
-rw-r--r--sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch18
-rw-r--r--sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild90
-rw-r--r--sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild84
-rw-r--r--sci-electronics/ghdl/ghdl-4.1.0.ebuild90
-rw-r--r--sci-electronics/ghdl/metadata.xml31
-rw-r--r--sci-electronics/gnucap/Manifest6
-rw-r--r--sci-electronics/gnucap/files/gnucap-20210107-fix-paths.patch68
-rw-r--r--sci-electronics/gnucap/files/gnucap-20210107-respect-ldflags.patch208
-rw-r--r--sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild83
-rw-r--r--sci-electronics/gnucap/gnucap-20210107.ebuild21
-rw-r--r--sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch41
-rw-r--r--sci-electronics/gsmc/gsmc-1.1-r3.ebuild48
-rw-r--r--sci-electronics/gspiceui/Manifest1
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.2.87-respect-users-flags.patch56
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.1.0.ebuild4
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild83
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.2.87.ebuild82
-rw-r--r--sci-electronics/gtkwave/Manifest1
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.106.ebuild4
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.111.ebuild65
-rw-r--r--sci-electronics/gwave/gwave-20190116.ebuild4
-rw-r--r--sci-electronics/iverilog/Manifest1
-rw-r--r--sci-electronics/iverilog/files/iverilog-10.3-call-nm.patch67
-rw-r--r--sci-electronics/iverilog/files/iverilog-10.3-configure-ac.patch12
-rw-r--r--sci-electronics/iverilog/files/iverilog-10.3-gen-bison-header.patch97
-rw-r--r--sci-electronics/iverilog/files/iverilog-10.3-override-var.patch12
-rw-r--r--sci-electronics/iverilog/iverilog-10.3.ebuild29
-rw-r--r--sci-electronics/iverilog/iverilog-11.0.ebuild8
-rw-r--r--sci-electronics/iverilog/iverilog-12.0.ebuild65
-rw-r--r--sci-electronics/iverilog/iverilog-9999.ebuild16
-rw-r--r--sci-electronics/kicad-footprints/Manifest7
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-5.1.11.ebuild16
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.10.ebuild (renamed from sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild)18
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild20
-rw-r--r--sci-electronics/kicad-footprints/metadata.xml6
-rw-r--r--sci-electronics/kicad-i18n/Manifest1
-rw-r--r--sci-electronics/kicad-i18n/kicad-i18n-5.1.11.ebuild17
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild (renamed from sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild)9
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.11.ebuild (renamed from sci-electronics/kicad-meta/kicad-meta-5.1.11.ebuild)14
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild27
-rw-r--r--sci-electronics/kicad-meta/metadata.xml4
-rw-r--r--sci-electronics/kicad-packages3d/Manifest7
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-5.1.11.ebuild22
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.10.ebuild (renamed from sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild)18
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild19
-rw-r--r--sci-electronics/kicad-packages3d/metadata.xml14
-rw-r--r--sci-electronics/kicad-symbols/Manifest7
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-5.1.11.ebuild16
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.10.ebuild (renamed from sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild)18
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild17
-rw-r--r--sci-electronics/kicad-symbols/metadata.xml6
-rw-r--r--sci-electronics/kicad-templates/Manifest7
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-5.1.11.ebuild16
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-7.0.10.ebuild (renamed from sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild)16
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-9999.ebuild16
-rw-r--r--sci-electronics/kicad-templates/metadata.xml5
-rw-r--r--sci-electronics/kicad/Manifest7
-rw-r--r--sci-electronics/kicad/files/kicad-5.1.5-help.patch117
-rw-r--r--sci-electronics/kicad/files/kicad-5.1.5-ldflags.patch17
-rw-r--r--sci-electronics/kicad/files/kicad-5.1.5-strict-aliasing.patch25
-rw-r--r--sci-electronics/kicad/files/kicad-5.1.6-metainfo.patch30
-rw-r--r--sci-electronics/kicad/files/kicad-7.0.0-werror.patch21
-rw-r--r--sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch36
-rw-r--r--sci-electronics/kicad/kicad-5.1.11.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-7.0.10.ebuild (renamed from sci-electronics/kicad/kicad-6.0.0_rc1.ebuild)130
-rw-r--r--sci-electronics/kicad/kicad-7.0.11.ebuild176
-rw-r--r--sci-electronics/kicad/kicad-7.0.7.ebuild174
-rw-r--r--sci-electronics/kicad/kicad-8.0.0.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-8.0.1.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-9999.ebuild128
-rw-r--r--sci-electronics/kicad/metadata.xml14
-rw-r--r--sci-electronics/klayout/Manifest4
-rw-r--r--sci-electronics/klayout/klayout-0.26.11.ebuild91
-rw-r--r--sci-electronics/klayout/klayout-0.27.ebuild91
-rw-r--r--sci-electronics/klayout/klayout-0.28.9.ebuild (renamed from sci-electronics/klayout/klayout-0.27.3.ebuild)10
-rw-r--r--sci-electronics/klayout/klayout-9999.ebuild8
-rw-r--r--sci-electronics/klayout/metadata.xml3
-rw-r--r--sci-electronics/ktechlab/Manifest2
-rw-r--r--sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch317
-rw-r--r--sci-electronics/ktechlab/ktechlab-0.51.0.ebuild (renamed from sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild)11
-rw-r--r--sci-electronics/labone/Manifest1
-rw-r--r--sci-electronics/labone/labone-21.08.20515-r2.ebuild (renamed from sci-electronics/labone/labone-21.08.20515-r1.ebuild)12
-rw-r--r--sci-electronics/labone/labone-23.02.42414.ebuild97
-rw-r--r--sci-electronics/librepcb/Manifest4
-rw-r--r--sci-electronics/librepcb/files/librepcb-0.1.5-quazip1.x.patch57
-rw-r--r--sci-electronics/librepcb/librepcb-0.1.3.ebuild54
-rw-r--r--sci-electronics/librepcb/librepcb-0.1.5.ebuild55
-rw-r--r--sci-electronics/librepcb/librepcb-0.1.7.ebuild60
-rw-r--r--sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild69
-rw-r--r--sci-electronics/librepcb/metadata.xml24
-rw-r--r--sci-electronics/magic/Manifest4
-rw-r--r--sci-electronics/magic/files/magic-7.5.202-include.patch20
-rw-r--r--sci-electronics/magic/files/magic-7.5.202-install.patch15
-rw-r--r--sci-electronics/magic/files/magic-ldflags.patch40
-rw-r--r--sci-electronics/magic/magic-7.5.202-r1.ebuild70
-rw-r--r--sci-electronics/magic/magic-8.3.232-r1.ebuild (renamed from sci-electronics/magic/magic-8.3.232.ebuild)6
-rw-r--r--sci-electronics/magic/magic-8.3.309-r2.ebuild92
-rw-r--r--sci-electronics/magic/magic-8.3.309-r3.ebuild96
-rw-r--r--sci-electronics/magic/magic-8.3.365.ebuild98
-rw-r--r--sci-electronics/ngspice/Manifest10
-rw-r--r--sci-electronics/ngspice/ngspice-31-r1.ebuild197
-rw-r--r--sci-electronics/ngspice/ngspice-36.ebuild (renamed from sci-electronics/ngspice/ngspice-35.ebuild)11
-rw-r--r--sci-electronics/ngspice/ngspice-40.ebuild (renamed from sci-electronics/ngspice/ngspice-34.ebuild)82
-rw-r--r--sci-electronics/nvc/Manifest1
-rw-r--r--sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch11
-rw-r--r--sci-electronics/nvc/metadata.xml28
-rw-r--r--sci-electronics/nvc/nvc-1.12.0.ebuild114
-rw-r--r--sci-electronics/osqoop/metadata.xml3
-rw-r--r--sci-electronics/pcb/Manifest1
-rw-r--r--sci-electronics/pcb/pcb-4.2.2.ebuild4
-rw-r--r--sci-electronics/pcb/pcb-4.3.0.ebuild113
-rw-r--r--sci-electronics/petrify/petrify-4.2-r1.ebuild4
-rw-r--r--sci-electronics/puff/files/puff-20181104-Makefile.patch5
-rw-r--r--sci-electronics/puff/puff-20100127-r1.ebuild8
-rw-r--r--sci-electronics/puff/puff-20181104.ebuild15
-rw-r--r--sci-electronics/pulseview/pulseview-0.4.2-r1.ebuild (renamed from sci-electronics/pulseview/pulseview-0.4.2.ebuild)20
-rw-r--r--sci-electronics/pulseview/pulseview-9999.ebuild20
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-0.80.ebuild6
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-9999.ebuild4
-rw-r--r--sci-electronics/sigrok-cli/Manifest1
-rw-r--r--sci-electronics/sigrok-cli/sigrok-cli-0.7.2-r1.ebuild (renamed from sci-electronics/sigrok-cli/sigrok-cli-0.7.1-r1.ebuild)14
-rw-r--r--sci-electronics/sigrok-cli/sigrok-cli-0.7.2.ebuild52
-rw-r--r--sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild14
-rw-r--r--sci-electronics/spice/files/spice-3.5.5-arlocal.patch11
-rw-r--r--sci-electronics/spice/spice-3.5.5-r4.ebuild (renamed from sci-electronics/spice/spice-3.5.5-r3.ebuild)59
-rw-r--r--sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch22
-rw-r--r--sci-electronics/splat/splat-1.4.2-r1.ebuild92
-rw-r--r--sci-electronics/systemc/systemc-2.3.4-r1.ebuild6
-rw-r--r--sci-electronics/systemc/systemc-2.3.4-r2.ebuild57
-rw-r--r--sci-electronics/vbs/vbs-1.4.0.ebuild6
-rw-r--r--sci-electronics/voacapl/metadata.xml3
-rw-r--r--sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild (renamed from sci-electronics/voacapl/voacapl-0.7.6.ebuild)14
-rw-r--r--sci-electronics/xcircuit/Manifest1
-rw-r--r--sci-electronics/xcircuit/metadata.xml1
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild (renamed from sci-electronics/xcircuit/xcircuit-3.10.30.ebuild)6
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.9.73.ebuild55
-rw-r--r--sci-electronics/xnec2c/Manifest3
-rw-r--r--sci-electronics/xnec2c/xnec2c-4.1.1.ebuild41
-rw-r--r--sci-electronics/xnec2c/xnec2c-4.4.12.ebuild56
-rw-r--r--sci-electronics/xnec2c/xnec2c-4.4.14.ebuild56
-rw-r--r--sci-electronics/xnecview/Manifest1
-rw-r--r--sci-electronics/xnecview/files/xnecview-tc-variables.patch29
-rw-r--r--sci-electronics/xnecview/metadata.xml (renamed from sci-electronics/kicad-i18n/metadata.xml)12
-rw-r--r--sci-electronics/xnecview/xnecview-1.37.ebuild37
-rw-r--r--sci-electronics/xoscope/Manifest2
-rw-r--r--sci-electronics/xoscope/files/xoscope-2.2-fno-common.patch16
-rw-r--r--sci-electronics/xoscope/xoscope-2.3.ebuild (renamed from sci-electronics/xoscope/xoscope-2.2.ebuild)27
210 files changed, 5065 insertions, 3623 deletions
diff --git a/sci-electronics/NanoVNA-QT/Manifest b/sci-electronics/NanoVNA-QT/Manifest
new file mode 100644
index 000000000000..c2ba29ffc267
--- /dev/null
+++ b/sci-electronics/NanoVNA-QT/Manifest
@@ -0,0 +1 @@
+DIST NanoVNA-QT-20200507.tar.gz 876476 BLAKE2B bda4424b0dfb8b977a3e4227393d477f7c6d6518b34887fb4104deb805768f1466d3a01f4b5949c80c0177151b6660158296a1eff24f6f6614ee53e185e2df38 SHA512 7b465c06cdff8cdc9c13ab4e6d6c4502849a2ef42e81de3f3d47bcf49008761307ea57e6572d316a96993aa547ce7f8185bab742d11139e313c33161d2324a21
diff --git a/sci-electronics/NanoVNA-QT/NanoVNA-QT-20200507.ebuild b/sci-electronics/NanoVNA-QT/NanoVNA-QT-20200507.ebuild
new file mode 100644
index 000000000000..30d703f63aa8
--- /dev/null
+++ b/sci-electronics/NanoVNA-QT/NanoVNA-QT-20200507.ebuild
@@ -0,0 +1,74 @@
+# Copyright 1999-2022 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools qmake-utils
+
+DESCRIPTION="Library and GUI software for NanoVNA V2"
+HOMEPAGE="https://github.com/nanovna-v2/NanoVNA-QT"
+SRC_URI="https://github.com/nanovna-v2/${PN}/archive/refs/tags/${PV}.tar.gz -> ${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64"
+
+RDEPEND="dev-cpp/eigen:3=
+ dev-qt/qtcharts:5=
+ dev-qt/qtcore:5=
+ dev-qt/qtgui:5=
+ dev-qt/qtsvg:5=
+ dev-qt/qtwidgets:5=
+ sci-libs/fftw:3.0="
+DEPEND="${RDEPEND}"
+BDEPEND="virtual/pkgconfig"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-20200507-missing_headers.patch
+)
+
+DOCS=( README.md ug1101.pdf )
+
+src_prepare() {
+ default
+ eautoreconf
+ sed -i -e "s|/usr/lib|/usr/$(get_libdir)|" libxavna/xavna_mock_ui/xavna_mock_ui.pro || die
+}
+
+src_configure() {
+ default
+
+ pushd libxavna/xavna_mock_ui > /dev/null || die
+ eqmake5
+ popd > /dev/null || die
+
+ pushd vna_qt > /dev/null || die
+ eqmake5
+ popd > /dev/null || die
+}
+
+src_compile() {
+ default
+
+ pushd libxavna/xavna_mock_ui > /dev/null || die
+ emake
+ popd > /dev/null || die
+
+ pushd vna_qt > /dev/null || die
+ emake
+ popd > /dev/null || die
+}
+
+src_install() {
+ default
+
+ pushd libxavna/xavna_mock_ui > /dev/null || die
+ emake INSTALL_ROOT="${D}" install
+ popd > /dev/null || die
+
+ pushd vna_qt > /dev/null || die
+ dobin vna_qt
+ popd > /dev/null || die
+
+ find "${ED}" -name '*.la' -delete || die
+}
diff --git a/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch b/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch
new file mode 100644
index 000000000000..d49cef3f53c6
--- /dev/null
+++ b/sci-electronics/NanoVNA-QT/files/NanoVNA-QT-20200507-missing_headers.patch
@@ -0,0 +1,40 @@
+--- a/libxavna/xavna_mock_ui/xavna_mock_ui.H
++++ b/libxavna/xavna_mock_ui/xavna_mock_ui.H
+@@ -2,6 +2,7 @@
+ #define XAVNA_MOCK_UI_H
+
+ #include <functional>
++#include <string>
+ using namespace std;
+ typedef function<void(string dut_name, double cableLen1, double cableLen2)> xavna_ui_changed_cb;
+
+--- a/vna_qt/firmwareupdater.H
++++ b/vna_qt/firmwareupdater.H
+@@ -2,6 +2,7 @@
+ #define FIRMWAREUPDATER_H
+ #include <string>
+ #include <functional>
++#include <cstdint>
+ #include <pthread.h>
+ using namespace std;
+
+--- a/vna_qt/polarview.C
++++ b/vna_qt/polarview.C
+@@ -3,6 +3,7 @@
+ #include <cmath>
+ #include <QPaintEvent>
+ #include <QPainter>
++#include <QPainterPath>
+ PolarView::PolarView(QWidget *parent) : QWidget(parent)
+ {
+
+--- a/vna_qt/polarview.H
++++ b/vna_qt/polarview.H
+@@ -5,6 +5,7 @@
+ #include <QImage>
+ #include <vector>
+ #include <complex>
++#include <cstdint>
+ using namespace std;
+
+
diff --git a/sci-electronics/NanoVNA-QT/metadata.xml b/sci-electronics/NanoVNA-QT/metadata.xml
new file mode 100644
index 000000000000..84af1563757c
--- /dev/null
+++ b/sci-electronics/NanoVNA-QT/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <maintainer type="person">
+ <email>marecki@gentoo.org</email>
+ <name>Marek Szuba</name>
+ </maintainer>
+ <upstream>
+ <remote-id type="github">nanovna-v2/NanoVNA-QT</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/drahnr-oregano/Manifest b/sci-electronics/drahnr-oregano/Manifest
deleted file mode 100644
index 155b49806c7a..000000000000
--- a/sci-electronics/drahnr-oregano/Manifest
+++ /dev/null
@@ -1 +0,0 @@
-DIST drahnr-oregano-0.84.40.tar.gz 1879082 BLAKE2B 8b8e0ffc6af0205018bb1a415f1f0e067d30f8c86681f145b8000a71d414c540c32cfb99af48b9e7c4a1b1e71cebbe76b22c05ccd511797ba7db2efdc8de2079 SHA512 f4e38ccf09f148cbe609ccfebe3d3476e6b385f4d002cb951ed0d488d84f65557c0fcac986c6e7657684a07d7f7dac4dda663278ed85363de0dcf48f8d02f73e
diff --git a/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild b/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild
deleted file mode 100644
index 494f5778347b..000000000000
--- a/sci-electronics/drahnr-oregano/drahnr-oregano-0.84.40.ebuild
+++ /dev/null
@@ -1,59 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-PYTHON_COMPAT=( python3_{7..9} )
-PYTHON_REQ_USE='threads(+)'
-
-inherit python-any-r1 waf-utils xdg
-
-DESCRIPTION="Application for the schematic capturing and simulation of electrical circuits"
-HOMEPAGE="https://github.com/drahnr/oregano"
-SRC_URI="https://github.com/drahnr/oregano/archive/v${PV}.tar.gz -> ${P}.tar.gz"
-
-LICENSE="GPL-2+"
-SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
-
-S="${WORKDIR}/oregano-${PV}"
-
-DEPEND="
- dev-libs/glib:2
- dev-libs/libxml2:2
- x11-libs/goocanvas:2.0
- x11-libs/gtk+:3
- x11-libs/gtksourceview:3.0"
-
-BDEPEND="${PYTHON_DEPS}
- dev-util/glib-utils
- virtual/pkgconfig"
-
-RDEPEND="${DEPEND}
- || (
- gnome-base/dconf
- gnome-base/gconf
- )
- sci-electronics/electronics-menu"
-
-src_configure() {
- waf-utils_src_configure
-}
-
-src_install() {
- waf-utils_src_install --no-xdg --no-install-gschema
- docompress -x /usr/share/doc/${PF}/{dev-docs,sequence}
- dodoc -r docs/{dev-docs,sequence,user-docs}
- insinto /usr/share/glib-2.0/schemas
- doins data/settings/io.ahoi.oregano.gschema.xml
-}
-
-pkg_postinst() {
- xdg_pkg_postinst
-
- elog "Note: You'll need to emerge your prefered simulation backend"
- elog "such as sci-electronics/ngspice (preferred) or sci-electronics/gnucap"
- elog "for simulation to work."
- elog "As an alternative generate a netlist and use sci-electronics/spice"
- elog "from the command line for simulation."
-}
diff --git a/sci-electronics/drahnr-oregano/metadata.xml b/sci-electronics/drahnr-oregano/metadata.xml
deleted file mode 100644
index 52c86d49fdbf..000000000000
--- a/sci-electronics/drahnr-oregano/metadata.xml
+++ /dev/null
@@ -1,21 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <maintainer type="person" proxied="yes">
- <email>ktrace@yandex.ru</email>
- <name>Victor Kustov</name>
- </maintainer>
- <maintainer type="project" proxied="proxy">
- <email>proxy-maint@gentoo.org</email>
- <name>Proxy Maintainers</name>
- </maintainer>
- <longdescription>
- Oregano is an application for schematic capture and simulation of electrical
- circuits. The actual simulation is performed by Berkeley Spice, or GNUcap or
- the new generation ngspice.
- It's alive fork of oregano
- </longdescription>
- <upstream>
- <remote-id type="github">drahnr/oregano</remote-id>
- </upstream>
-</pkgmetadata>
diff --git a/sci-electronics/eagle/Manifest b/sci-electronics/eagle/Manifest
index 703da782c65e..95a1de9a0fc2 100644
--- a/sci-electronics/eagle/Manifest
+++ b/sci-electronics/eagle/Manifest
@@ -1,2 +1 @@
-DIST eagle-lin32-7.7.0.run 51488593 BLAKE2B e1556b7abe6fb405632015f39bdd8e8c61891ebab7e3f59d41aaa0c6ecaea2f050ced62552656a2311542fee497dbe8fbe43c958a8e76a9b00217c430f678072 SHA512 7ebe73bcd71857c5b8087e911ebfc45507715725abab15b80c9800476128790f8e373d01c52c05a87102597d355390ca0687964eff74af1283b162fba8dbb53b
-DIST eagle-lin64-7.7.0.run 51108322 BLAKE2B 1458199821328e811632cdb7457f256b9d97c40005c0ce71eb65d9c3f75049618e76e0c7e3cbb2e3171649bb412270409fd601bfe6f51c79c6cc3d01c12fcf66 SHA512 1f0b38be16b87f67f64709e7e39b888592240d98cdcb7b81ee77f236d9cdea5127e661db5cff470d9673f8247bea49732be3b443cdc5fbd1dca34e26896a6770
+DIST Autodesk_EAGLE_9.6.2_English_Linux_64bit.tar.gz 171780187 BLAKE2B 6fb627448068b8af8fb2a4117c84386a300acef769afe8524cae703afb09edf719f19fc4a5298d091667f3d4c09e8ec06a0da4a16274cb6e6c64a1ae349ed014 SHA512 4ef17dfa52b1ee0f5ba68896bc3821616a1b7d1a073a586604271cd7129e29671c480cd324cc5eadc517eb300ca4be6efa69423a306944e36732c2aa34cc59a3
diff --git a/sci-electronics/eagle/eagle-7.7.0-r1.ebuild b/sci-electronics/eagle/eagle-7.7.0-r1.ebuild
deleted file mode 100644
index 17b9578f1776..000000000000
--- a/sci-electronics/eagle/eagle-7.7.0-r1.ebuild
+++ /dev/null
@@ -1,129 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="6"
-
-inherit eutils
-
-DESCRIPTION="CadSoft EAGLE schematic and printed circuit board (PCB) layout editor"
-HOMEPAGE="http://www.cadsoft.de"
-SRC_URI="
- x86? ( ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin32-${PV}.run )
- amd64? ( ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin64-${PV}.run )"
-
-LICENSE="cadsoft-2"
-SLOT="0"
-KEYWORDS="-* amd64 x86"
-IUSE="doc"
-
-QA_PREBUILT="opt/eagle/bin/eagle"
-RESTRICT="mirror bindist"
-
-RDEPEND="
- sys-libs/glibc
- || ( <dev-libs/openssl-1.1 dev-libs/openssl-compat:1.0.0 )
- >=sys-libs/zlib-1.2.8-r1
- >=media-libs/freetype-2.5.0.1
- >=media-libs/fontconfig-2.10.92
- x11-libs/libXext
- x11-libs/libX11
- >=x11-libs/libXrender-0.9.8
- >=x11-libs/libXrandr-1.4.2
- >=x11-libs/libXcursor-1.1.14
- >=x11-libs/libXi-1.7.2
- net-print/cups
- x11-libs/libxcb
-"
-
-# Append ${PV} since that's what upstream installs to
-case "${LINGUAS}" in
- *de*)
- MY_LANG="de";;
- *)
- MY_LANG="en";;
-esac
-
-src_unpack() {
- # Extract the built-in .tar.bz2 file starting at __DATA__
- sed -e '1,/^__DATA__$/d' "${DISTDIR}/${A}" | tar xj || die "unpacking failed"
-}
-
-src_install() {
- local installdir="/opt/eagle"
-
- # Set MY_LANG for this function only since UPDATE_zh and README_zh
- # don't exist
- [[ ${LINGUAS} == *zh* ]] && MY_INST_LANG="zh" || MY_INST_LANG="${MY_LANG}"
-
- # Install the documentation
- cd doc/
-
- local pattern="^((README|UPDATE)_${MY_LANG}|library_${MY_LANG}\.txt)$"
- for docs in README_* UPDATE_* library_*.txt; do
- if [[ $docs =~ $pattern ]]; then
- dodoc $docs
- fi
-
- rm -f $docs
- done
-
- doman eagle.1
- rm eagle.1
-
- # Install extra documentation if requested
- pattern="^((tutorial|manual|generate-3d-idf-data)_|(connect-device-split-symbol|make-symbol-device-package-bsdl-2011)-)${MY_LANG}.pdf$"
- if use doc; then
- cd ulp/
- for docs in generate-3d-idf-data_*.pdf connect-device-split-symbol-*.pdf make-symbol-device-package-bsdl-2011-*.pdf; do
- if [[ ! $docs =~ $pattern ]]; then
- rm $docs
- fi
- done
- cd ../
-
- for docs in manual_* tutorial_*; do
- if [[ ! $docs =~ $pattern ]]; then
- rm $docs
- fi
- done
- else
- rm {elektro-tutorial,manual_*,tutorial_*,layer-setup_designrules}.pdf
- rm -rf ulp/
- fi
-
- rm -f license*.txt eagle.dtd
-
- cd "${S}"
-
- insinto $installdir
- doins -r .
-
- fperms 0755 ${installdir}/bin/eagle
-
- # Install wrapper (suppressing leading tabs)
- # see bug #188368 or http://www.cadsoftusa.com/training/faq/#3
- exeinto /opt/bin
- newexe "${FILESDIR}/eagle_wrapper_script" eagle
- # Finally, append the path of the eagle binary respecting $installdir and any
- # arguments passed to the script (thanks Denilson)
- echo "${installdir}/bin/eagle" '"$@"' >> "${D}/opt/bin/eagle"
-
- echo -e "ROOTPATH=${installdir}/bin\nPRELINK_PATH_MASK=${installdir}" > "${S}/90eagle-${PV}"
- doenvd "${S}/90eagle-${PV}"
-
- # Create desktop entry
- newicon bin/${PN}icon50.png ${PF}-icon50.png
- make_desktop_entry "${EPREFIX}/opt/bin/eagle" "CadSoft EAGLE Layout Editor" ${PF}-icon50 "Graphics;Electronics"
-}
-
-pkg_postinst() {
- elog "Run \`env-update && source /etc/profile\` from within \${ROOT}"
- elog "now to set up the correct paths."
- elog "You must first run eagle as root to invoke product registration."
- echo
- ewarn "Due to some necessary changes in the data structure, once you edit"
- ewarn "a file with version 7.x you will no longer be able to edit it"
- ewarn "with versions prior to 7.0!"
- ewarn
- ewarn "Please read /usr/share/doc/${PF}/UPDATE_${MY_LANG} if you are upgrading from a version prior 7.x!"
-}
diff --git a/sci-electronics/eagle/eagle-9.6.2-r3.ebuild b/sci-electronics/eagle/eagle-9.6.2-r3.ebuild
new file mode 100644
index 000000000000..9bac254f760f
--- /dev/null
+++ b/sci-electronics/eagle/eagle-9.6.2-r3.ebuild
@@ -0,0 +1,100 @@
+# Copyright 1999-2022 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit desktop optfeature xdg
+
+DESCRIPTION="Autodesk EAGLE schematic and printed circuit board (PCB) layout editor"
+HOMEPAGE="https://www.autodesk.com/"
+SRC_URI="https://eagle-updates.circuits.io/downloads/${PV//./_}/Autodesk_EAGLE_${PV}_English_Linux_64bit.tar.gz"
+
+LICENSE="Autodesk"
+SLOT="0"
+KEYWORDS="-* amd64"
+
+QA_PREBUILT="opt/${PN}/*"
+RESTRICT="mirror bindist"
+
+RDEPEND="
+ app-crypt/mit-krb5
+ dev-libs/expat
+ dev-libs/glib
+ dev-libs/libpcre
+ dev-libs/nspr
+ dev-libs/nss
+ dev-qt/qtcore:5
+ dev-qt/qtdbus:5
+ dev-qt/qtdeclarative:5
+ dev-qt/qtgui:5
+ dev-qt/qtnetwork:5
+ dev-qt/qtpositioning:5
+ dev-qt/qtprintsupport:5
+ dev-qt/qtsvg:5
+ dev-qt/qtwebchannel:5
+ dev-qt/qtwebengine:5
+ dev-qt/qtwidgets:5
+ dev-qt/qtxml:5
+ media-libs/alsa-lib
+ media-libs/fontconfig
+ media-libs/freetype
+ media-libs/libglvnd
+ media-libs/mesa
+ net-dns/avahi
+ net-print/cups
+ sys-apps/dbus
+ sys-apps/keyutils
+ >=sys-fs/e2fsprogs-1.46.5
+ sys-libs/glibc
+ sys-libs/zlib
+ x11-libs/libdrm
+ x11-libs/libX11
+ x11-libs/libXau
+ x11-libs/libxcb
+ x11-libs/libXcomposite
+ x11-libs/libXcursor
+ x11-libs/libXdamage
+ x11-libs/libXext
+ x11-libs/libXfixes
+ x11-libs/libXi
+ x11-libs/libXrandr
+ x11-libs/libXrender
+ x11-libs/libxshmfence
+ x11-libs/libXtst
+"
+
+src_prepare() {
+ default
+ # drop bundled ngpsice
+ rm -r ngspice || die
+ # drop bundled qt and other libs
+ rm qt.conf || die
+ rm -r resources plugins libexec || die
+ # this libSuits.so(?) is not packaged anywhere in Gentoo so we keep it
+ mv lib lib.back || die
+ mkdir lib || die
+ mv lib.back/libSuits.so lib/ || die
+ rm -r lib.back || die
+}
+
+src_install() {
+ dodoc doc/*.txt doc/*.pdf doc/ulp/*.pdf
+ doman doc/eagle.1
+ dodir /opt/${PN}
+
+ # copy everything in
+ cp -a "${S}/"* "${ED}/opt/${PN}/" || die
+ fperms 0755 /opt/${PN}/${PN}
+ # and make convenience symlink
+ dosym "../${PN}/${PN}" "/opt/bin/${PN}"
+
+ # Create desktop entry
+ doicon -s 128x128 bin/${PN}-logo.png
+ make_desktop_entry ${PN} "CadSoft EAGLE Layout Editor" ${PN}-logo "Graphics;Electronics"
+}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+
+ optfeature 'SPICE circuit simulation support (set "Simulator Path" in Options -> Directories)' sci-electronics/ngspice
+}
diff --git a/sci-electronics/eagle/files/eagle_wrapper_script b/sci-electronics/eagle/files/eagle_wrapper_script
deleted file mode 100644
index 5a20922893c6..000000000000
--- a/sci-electronics/eagle/files/eagle_wrapper_script
+++ /dev/null
@@ -1,8 +0,0 @@
-#!/bin/bash
-
-# From http://www.cadsoft.de/faq.htm#17040701
-# Work around problems with the Composite X extension
-export XLIB_SKIP_ARGB_VISUALS=1
-
-# The ebuild will append the final line respecting INSTALLDIR
-# Default is /opt/eagle/bin/eagle "$@"
diff --git a/sci-electronics/electric/Manifest b/sci-electronics/electric/Manifest
index 7d4c2cc73e25..7e7343f964d0 100644
--- a/sci-electronics/electric/Manifest
+++ b/sci-electronics/electric/Manifest
@@ -1 +1 @@
-DIST electricBinary-9.03.jar 23591444 BLAKE2B f5185917821855eed90dbd39dc8f6a390c9b117c06d960a01ef7061ec7650d13b549950e53c43c4bd0864c0adebc32c1c2e5c68a32dd09f2f46d0111aa8a7fe0 SHA512 e4430c87e1c0d335341057950b887988f46bd222ab6c2d989312036592f16db0b51169f3affc01fe0dbd8077674ed934d4896de46a0f9ee00e71453b2a6b18f2
+DIST electricBinary-9.07.jar 21244560 BLAKE2B 23ad96fc7b213776e0296e281bd289f554261df85738f30b5369f743523231456b8e29f9b18a26ac338c2f093ec514ce219997b763c92aaa0870339af7732f31 SHA512 1f9a1cc061b99c868df25c3bf1da3e21e9d26dc25b6c72b10c8f53488f3ddf0d522e821d4cd57eb85c95fe903fb6ada0d92d501f577d0fe4934988bb4c2d596a
diff --git a/sci-electronics/electric/electric-9.03.ebuild b/sci-electronics/electric/electric-9.07.ebuild
index eddba70359cf..39e2f2779ff5 100644
--- a/sci-electronics/electric/electric-9.03.ebuild
+++ b/sci-electronics/electric/electric-9.07.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2018 Gentoo Foundation
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=6
+EAPI=8
inherit desktop java-pkg-2
@@ -9,17 +9,16 @@ DESCRIPTION="Complete Electronic Design Automation system for many forms of circ
HOMEPAGE="https://www.gnu.org/software/electric/electric.html"
SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
-LICENSE="GPL-2"
+LICENSE="GPL-3+"
SLOT="0"
KEYWORDS="~amd64 ~x86"
-IUSE=""
RDEPEND="
>=virtual/jre-1.6
sci-electronics/electronics-menu"
-DEPEND="app-arch/unzip"
+BDEPEND="app-arch/unzip"
-S=${WORKDIR}
+S="${WORKDIR}"
src_install() {
java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
diff --git a/sci-electronics/electric/metadata.xml b/sci-electronics/electric/metadata.xml
index d3b26ab4a5db..85cd3ca1d073 100644
--- a/sci-electronics/electric/metadata.xml
+++ b/sci-electronics/electric/metadata.xml
@@ -14,4 +14,7 @@
* Programmable logic (FPGAs)
* ...and much more.
</longdescription>
+ <upstream>
+ <remote-id type="savannah">electric</remote-id>
+ </upstream>
</pkgmetadata>
diff --git a/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild b/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild
index 04593c37fc4f..1eb3d3d4e2cd 100644
--- a/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild
+++ b/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -11,4 +11,4 @@ SRC_URI="http://geda.seul.org/dist/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
-KEYWORDS="amd64 ~arm64 ppc ppc64 sparc x86"
+KEYWORDS="amd64 ~arm64 ~ppc ppc64 ~riscv sparc ~x86"
diff --git a/sci-electronics/espresso-ab/espresso-ab-1.0-r2.ebuild b/sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild
index cd0bd9019c95..2067bda91c74 100644
--- a/sci-electronics/espresso-ab/espresso-ab-1.0-r2.ebuild
+++ b/sci-electronics/espresso-ab/espresso-ab-1.0-r3.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-inherit flag-o-matic
+inherit autotools flag-o-matic
DESCRIPTION="POSIX compliant version of the espresso logic minimization tool"
HOMEPAGE="http://www.cs.man.ac.uk/apt/projects/balsa/"
@@ -11,7 +11,14 @@ SRC_URI="ftp://ftp.cs.man.ac.uk/pub/amulet/balsa/other-software/${P}.tar.gz"
LICENSE="BSD"
SLOT="0"
-KEYWORDS="amd64 ~ppc ~sparc x86"
+KEYWORDS="amd64 ~ppc ~sparc ~x86"
+
+src_prepare() {
+ default
+
+ # Clang 16
+ eautoreconf
+}
src_configure() {
append-cflags "-std=gnu89"
diff --git a/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild b/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild
index ee1cef974df8..b6277e0a9e1c 100644
--- a/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild
+++ b/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-inherit toolchain-funcs
+inherit flag-o-matic toolchain-funcs
DESCRIPTION="Three dimensional inductance computation program, Whiteley Research version"
HOMEPAGE="http://www.wrcad.com/freestuff.html"
@@ -27,6 +27,14 @@ PATCHES=(
)
src_compile() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/862450
+ #
+ # Upstream provides a contact email. I have sent an email describing the
+ # bug. They responded to say it is now fixed and a new version will be
+ # available shortly.
+ filter-lto
+
tc-export CC AR
emake all
}
diff --git a/sci-electronics/freehdl/Manifest b/sci-electronics/freehdl/Manifest
deleted file mode 100644
index 3b2fb612e71c..000000000000
--- a/sci-electronics/freehdl/Manifest
+++ /dev/null
@@ -1 +0,0 @@
-DIST freehdl-0.0.8.tar.gz 1389888 BLAKE2B 2205f07435bf3cd79d51ccec4c976de5c1d0eb30279ebbaf3bd9ebda274508501421bd6c0b10c2f73989ee4a01f0cc09bfb0542e5e442ea509911fdb5e9ee172 SHA512 731fde1a940a762e570107819a89ac2884029e5c4f5d51e5df0032a4381fd04c7da948b5f2de6b1ce60801f6ce3a0a9123a4902e75e216a1182b25b2134f44ee
diff --git a/sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch b/sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch
deleted file mode 100644
index 6da5bb1b27a1..000000000000
--- a/sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch
+++ /dev/null
@@ -1,507 +0,0 @@
-C++11 has added new types (std::hash), which get dropped into the namespace due to
-awful 'using namespace std;' declarations everywhere, causing name collisions.
-Instead, only drop a minimal set of declarations into the global :: namespace.
-See also: https://bugs.gentoo.org/show_bug.cgi?id=594706
-
---- a/fire/test-fire.cc
-+++ b/fire/test-fire.cc
-@@ -2,7 +2,7 @@
- #include <stdio.h>
- #include <freehdl/fire.h>
-
--using namespace std;
-+using std::cout;
-
- extern tree_chunk_info fire_chunk_info;
-
---- a/freehdl/cdfggen-chunk.h
-+++ b/freehdl/cdfggen-chunk.h
-@@ -7,7 +7,9 @@
- #include <string>
- #include <vector>
- typedef enum {to, downto} cdfgg_direction;
--using namespace std;
-+using std::string;
-+using std::vector;
-+using std::pair;
-
- extern tree_chunk_info cdfggen_chunk_info;
- extern tree_ctype_info int_ctype_info;
---- a/freehdl/cdfggen-chunk.t
-+++ b/freehdl/cdfggen-chunk.t
-@@ -13,8 +13,7 @@
- (header-add "#include <freehdl/tree-supp.h>"
- "#include <string>"
- "#include <vector>"
-- "typedef enum {to, downto} cdfgg_direction;"
-- "using namespace std;")
-+ "typedef enum {to, downto} cdfgg_direction;")
-
- (impl-add "#include <freehdl/cdfggen-chunk.h>")
-
---- a/freehdl/kernel-attributes.hh
-+++ b/freehdl/kernel-attributes.hh
-@@ -1,6 +1,8 @@
- #ifndef FREEHDL_KERNEL_ATTRIBUTES_H
- #define FREEHDL_KERNEL_ATTRIBUTES_H
-
-+using std::max;
-+
- /* *************************************************************
- * Function kind attributes for signals
- * ************************************************************* */
---- a/freehdl/kernel-db.hh
-+++ b/freehdl/kernel-db.hh
-@@ -3,6 +3,8 @@
-
- #include <assert.h>
-
-+#include <string>
-+using std::string;
-
- /* This header file includes the definitions that are required to
- * setup a kernel database. This database will be used by the kernel
-@@ -254,7 +256,7 @@
-
- // A hash function template used tp generate a hash number from
- // d
--class db_basic_key_hash : public hash<unsigned long> {
-+class db_basic_key_hash : public __gnu_cxx::hash<unsigned long> {
- public:
- size_t operator()(const db_basic_key& x) const {
- return (*(hash<unsigned long> *)this)(((unsigned long)x.value)>>2);
---- a/freehdl/kernel-dump.hh
-+++ b/freehdl/kernel-dump.hh
-@@ -13,9 +13,9 @@
- #include <string>
- #include <fstream>
-
--using namespace std;
-+using std::fstream;
-
--typedef map<string, char*, less<string> > Tmap;
-+typedef map<string, const char*, less<string> > Tmap;
- extern Tmap mapping_translation_table;
-
- // For each signal which is dumped an virtual process is created. This
---- a/freehdl/kernel-fhdl-stream.hh
-+++ b/freehdl/kernel-fhdl-stream.hh
-@@ -9,7 +9,9 @@
- #include <string>
- #include <iostream>
-
--using namespace std;
-+using std::istream;
-+using std::ostream;
-+using std::string;
-
- struct fhdl_ostream_t {
- union {
---- a/freehdl/kernel-map-list.hh
-+++ b/freehdl/kernel-map-list.hh
-@@ -7,7 +7,6 @@
- #include <freehdl/kernel-acl.hh>
- #include <freehdl/kernel-sig-info.hh>
-
--using namespace std;
- //using namespace __gnu_cxx;
-
- // A signal_link instance describes the connection
---- a/freehdl/kernel-name-stack.hh
-+++ b/freehdl/kernel-name-stack.hh
-@@ -3,7 +3,7 @@
-
- #include <string>
-
--using namespace std;
-+using std::string;
-
- #define NAME_STACK_INCREMENT 10
-
---- a/freehdl/kernel-signal-source-list-array.hh
-+++ b/freehdl/kernel-signal-source-list-array.hh
-@@ -13,7 +13,6 @@
- #include <freehdl/kernel-util.hh>
- #include <freehdl/kernel-source-descriptor.hh>
-
--using namespace std;
- //using namespace __gnu_cxx;
-
- // signal_source stores information about a source of a signal. Note
---- a/freehdl/kernel-util.hh
-+++ b/freehdl/kernel-util.hh
-@@ -15,7 +15,8 @@
- #include <hash_map>
- #endif
-
--using namespace std;
-+using std::stringstream;
-+using std::string;
-
- #if !defined __GNUC__ || __GNUC__ != 2
- using namespace __gnu_cxx;
-@@ -24,7 +25,7 @@
- // A hash function template used tp generate a hash number from
- // pointer values.
- template<class T>
--class pointer_hash : public hash<unsigned long> {
-+class pointer_hash : public __gnu_cxx::hash<unsigned long> {
- public:
- size_t operator()(const T& x) const {
- return (*(hash<unsigned long> *)this)(((unsigned long)x)>>2);
---- a/freehdl/std.h
-+++ b/freehdl/std.h
-@@ -1,7 +1,6 @@
- #ifndef FREEHDL_STD_H
- #define FREEHDL_STD_H
-
--using namespace std;
-
- #include <freehdl/std-standard.hh>
- #include <freehdl/std-vhdl-types.hh>
---- a/freehdl/std-vhdl-types.hh
-+++ b/freehdl/std-vhdl-types.hh
-@@ -6,13 +6,24 @@
- #include <float.h>
- #include <math.h>
- #include <iostream>
-+#include <map>
-+#include <list>
-+#include <functional>
- #include <string.h>
-
- #include <freehdl/std-memory.hh>
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-acl.hh>
-
--using namespace std;
-+using std::string;
-+using std::istream;
-+using std::ostream;
-+using std::min;
-+using std::map;
-+using std::stringstream;
-+using std::list;
-+using std::less;
-+using std::iostream;
-
- typedef long long int lint;
- const int BUFFER_STREAM_SIZE_INCREMENT = 1024;
---- a/freehdl/vaul-lexer.h
-+++ b/freehdl/vaul-lexer.h
-@@ -32,7 +32,6 @@
- #include <stdarg.h>
- #include <string.h>
-
--using namespace std;
-
- #undef yyFlexLexer
- #define yyFlexLexer vaul_FlexLexer
---- a/ieee/numeric_std.cc
-+++ b/ieee/numeric_std.cc
-@@ -2,6 +2,7 @@
- #include <freehdl/std.h>
-
-
-+using std::max;
-
- /* package :ieee:numeric_std */
- /* External declarations */
---- a/kernel/attributes.cc
-+++ b/kernel/attributes.cc
-@@ -5,6 +5,7 @@
- #include <freehdl/kernel-kernel-class.hh>
- #include <freehdl/kernel-reader-info.hh>
- #include <freehdl/kernel-driver-info.hh>
-+using std::max;
- #include <freehdl/kernel-attributes.hh>
-
-
---- a/kernel/db.cc
-+++ b/kernel/db.cc
-@@ -1,6 +1,5 @@
- #define KERNEL // Include internal kernel definitions
-
--using namespace std;
- #include <freehdl/kernel-db.hh>
-
-
---- a/kernel/fhdl_stream.cc
-+++ b/kernel/fhdl_stream.cc
-@@ -7,6 +7,9 @@
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-fhdl-stream.hh>
-
-+using std::cin;
-+using std::cout;
-+using std::stringstream;
-
- // Error stream to output error messages generated by the kernel,
- // e.g. to print error messages due to invalid simulator commands
---- a/kernel/kernel_class.cc
-+++ b/kernel/kernel_class.cc
-@@ -16,6 +16,10 @@
- #include <freehdl/kernel-resolver-descriptor.hh>
- #include <freehdl/kernel-fhdl-stream.hh>
-
-+using std::cerr;
-+using std::pair;
-+using std::binary_function;
-+
- // Arguments that are passed in form the command line
- int main_argc;
- char **main_argv;
---- a/kernel/main.cc
-+++ b/kernel/main.cc
-@@ -34,6 +34,15 @@
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-fhdl-stream.hh>
-
-+using std::ios;
-+using std::ifstream;
-+using std::ofstream;
-+using std::ostringstream;
-+using std::cin;
-+using std::cerr;
-+using std::cout;
-+using std::endl;
-+
- #ifdef PERFMON_STATISTICS
- #include "pcounter.hh"
- #endif
---- a/kernel/map_list.cc
-+++ b/kernel/map_list.cc
-@@ -4,6 +4,8 @@
- #include <freehdl/kernel-sig-info.hh>
- #include <freehdl/kernel-resolver-descriptor.hh>
-
-+using std::max;
-+
- // Stores the father signal(s) of port signals
- port_signal_link_map_t port_signal_link_map;
-
---- a/kernel/name_stack.cc
-+++ b/kernel/name_stack.cc
-@@ -1,8 +1,10 @@
- #include <stdlib.h>
- #include <stdio.h>
-+#include <stack>
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-name-stack.hh>
-
-+using std::stack;
-
- name_stack instance_name;
-
---- a/kernel/persistent_cdfg_dump.cc
-+++ b/kernel/persistent_cdfg_dump.cc
-@@ -3,6 +3,7 @@
- #include <freehdl/kernel-persistent-cdfg-dump.hh>
- #include <freehdl/kernel-persistent-dump.hh>
-
-+using std::endl;
-
- buffer_stream register_cdfg_tmp_buffer;
-
---- a/kernel/sig_info.cc
-+++ b/kernel/sig_info.cc
-@@ -1,6 +1,5 @@
- #define KERNEL // Include internal kernel definitions
-
--using namespace std;
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-db.hh>
- #include <freehdl/kernel-sig-info.hh>
---- a/std/internal_textio.cc
-+++ b/std/internal_textio.cc
-@@ -10,6 +10,10 @@
- #include <freehdl/kernel-name-stack.hh>
- #include <freehdl/kernel-register.hh>
-
-+using std::ios;
-+using std::cin;
-+using std::cout;
-+
- /* package :std:textio */
-
- /* Definitions for access type :std:textio:line */
---- a/std/vhdl_types.cc
-+++ b/std/vhdl_types.cc
-@@ -12,6 +12,9 @@
- #include <freehdl/kernel-register.hh>
-
-
-+using std::ios;
-+using std::ifstream;
-+using std::ofstream;
-
- /* *************************************************************
- * Some global functions
---- a/v2cc/mapping.cc
-+++ b/v2cc/mapping.cc
-@@ -34,7 +34,12 @@
- #include <iostream>
- #include <stdlib.h>
-
--using namespace std;
-+using std::string;
-+using std::list;
-+using std::map;
-+using std::istream;
-+using std::ifstream;
-+using std::cerr;
-
- v2cc_mapper::v2cc_mapper ()
- {
---- a/v2cc/v2cc.cc
-+++ b/v2cc/v2cc.cc
-@@ -35,7 +35,6 @@
-
- */
-
--using namespace std;
-
- #if HAVE_MALLOC_H
- #include <malloc.h>
---- a/v2cc/v2cc-const-fold.cc
-+++ b/v2cc/v2cc-const-fold.cc
-@@ -16,6 +16,9 @@
- #include "v2cc-util.h"
-
-
-+using std::cerr;
-+using std::max;
-+using std::min;
-
- // Used to generate error messages
- extern vaul_error_printer codegen_error;
---- a/v2cc/v2cc-decl.cc
-+++ b/v2cc/v2cc-decl.cc
-@@ -13,6 +13,9 @@
- #include "mapping.h"
- #include "v2cc-util.h"
-
-+using std::endl;
-+using std::min;
-+using std::max;
-
- void test (RegionStack &rstack)
- {
---- a/v2cc/v2cc-explore.cc
-+++ b/v2cc/v2cc-explore.cc
-@@ -15,7 +15,6 @@
- #include "mapping.h"
- #include "v2cc-util.h"
-
--using namespace std;
-
- // Used to generate error messages
- extern vaul_error_printer codegen_error;
---- a/v2cc/v2cc.h
-+++ b/v2cc/v2cc.h
-@@ -1,7 +1,17 @@
- #ifndef V2CC_HEADER
- #define V2CC_HEADER
-
--using namespace std;
-+using std::vector;
-+using std::list;
-+using std::string;
-+using std::pair;
-+using std::string;
-+using std::set;
-+using std::less;
-+using std::deque;
-+using std::binary_function;
-+using std::map;
-+using std::binary_function;
-
- #include <freehdl/vaul.h>
- #include "mapping.h"
---- a/v2cc/v2cc-qid.cc
-+++ b/v2cc/v2cc-qid.cc
-@@ -4,6 +4,7 @@
- #include "v2cc-util.h"
-
-
-+using std::endl;
-
- // ******************************************************************************************
- // Name: m_qid , generic function
---- a/v2cc/v2cc-util.cc
-+++ b/v2cc/v2cc-util.cc
-@@ -9,6 +9,9 @@
-
- #include "v2cc-util.h"
-
-+using std::endl;
-+using std::hex;
-+using std::dec;
-
- // ******************************************************************************************
- // Some global variables
---- a/v2cc/v2cc-util.h
-+++ b/v2cc/v2cc-util.h
-@@ -2,13 +2,18 @@
- #ifndef V2CC_UTIL_H
- #define V2CC_UTIL_H
-
--using namespace std;
-
- #include <sstream>
- #include <iomanip>
- #include <freehdl/vaul.h>
- #include "v2cc-chunk.h"
-
-+using std::stringstream;
-+using std::setprecision;
-+using std::showpoint;
-+using std::ofstream;
-+using std::cout;
-+
- // ******************************************************************************************
- // Some global variables
- // ******************************************************************************************
---- a/vaul/bison-parser.cc
-+++ b/vaul/bison-parser.cc
-@@ -86,7 +86,6 @@
- #include <malloc.h>
- #endif
-
--using namespace std;
-
- #define YYINITDEPTH 10000
- #define YYMAXDEPTH 100000
---- a/vaul/bison-parser.yy
-+++ b/vaul/bison-parser.yy
-@@ -49,7 +49,6 @@
- #include <malloc.h>
- #endif
-
--using namespace std;
-
- #define YYINITDEPTH 10000
- #define YYMAXDEPTH 100000
---- a/vaul/printer.cc
-+++ b/vaul/printer.cc
-@@ -27,7 +27,8 @@
- #include <string.h>
- #include <sstream>
-
--using namespace std;
-+using std::ostringstream;
-+using std::ostream;
-
- void vaul_printer::printf (const char *fmt, ...)
- {
---- a/vaul/tree.cc
-+++ b/vaul/tree.cc
-@@ -31,7 +31,7 @@
- #include <assert.h>
- #include <stdlib.h>
-
--using namespace std;
-+using std::ostream;
-
- vaul_id_set::vaul_id_set(int dummy)
- {
diff --git a/sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch b/sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch
deleted file mode 100644
index d35af9f69a69..000000000000
--- a/sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch
+++ /dev/null
@@ -1,279 +0,0 @@
-Fix various QA issues:
-* Use correct printf format specifiers for 'size_t'
-* Fix const correctness ('char*' -> 'const char*') for C-string literals
-* Correctly forward declare inline functions
-
---- a/fire/test-fire.cc
-+++ b/fire/test-fire.cc
-@@ -24,7 +24,7 @@
- if (k->size < (size_t) N)
- sizes[k->size]++;
- if (k->size % 4 !=0)
-- printf ("odd size: %d\n", k->size);
-+ printf ("odd size: %zu\n", k->size);
- }
- printf ("min = %d, max = %d\n", min, max);
- for (int i = min; i <= max && i < N; i+=4)
---- a/fire/tree-supp.cc
-+++ b/fire/tree-supp.cc
-@@ -485,7 +485,7 @@
- if (size < (size_t) N)
- sizes[size]++;
- if (size % 4 !=0)
-- printf ("odd size: %d\n", size);
-+ printf ("odd size: %zu\n", size);
- }
-
- void
---- a/freehdl/kernel-dump.hh
-+++ b/freehdl/kernel-dump.hh
-@@ -42,9 +42,9 @@
- short wait_id;
- // This function will return an appropriate table entry (if
- // available)
-- char *find_table(type_info_interface* type);
-+ const char *find_table(type_info_interface* type);
- //this variable is used by the read_type function
-- char* translation_table;
-+ const char* translation_table;
- // This method is executed each time the signal value changes
- bool execute();
- // Continue dumping the signal.
-@@ -77,7 +77,7 @@
- extern list<signal_dump*> signal_dump_process_list;
-
- // Used to store the user_defined translation table types
--extern map<string, char*, less<string> > mapping_translation_table;
-+extern map<string, const char*, less<string> > mapping_translation_table;
-
-
- /******************************************************
---- a/freehdl/kernel-fhdl-stream.hh
-+++ b/freehdl/kernel-fhdl-stream.hh
-@@ -29,7 +31,7 @@
- str->flush();
- }
-
-- fhdl_ostream_t &operator<<(char *p);
-+ fhdl_ostream_t &operator<<(const char *p);
- fhdl_ostream_t &operator<<(const string &a);
- fhdl_ostream_t &operator<<(const int i);
- fhdl_ostream_t &operator<<(const unsigned int i);
---- a/freehdl/std-vhdl-types.hh
-+++ b/freehdl/std-vhdl-types.hh
-@@ -607,7 +618,7 @@
- // which caused the failure or NULL otherwise.
- virtual const char *read(void *dest, const char *str) = 0;
- // Prints the content of src into an string stream in VCD format
-- virtual void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) = 0;
-+ virtual void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) = 0;
- // Prints value into binary stream. Note that only the raw data but
- // no type info objects are written! The method returns the number
- // of bytes written to the stream.
-@@ -785,7 +796,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
-
- integer check(integer value) {
-@@ -821,7 +832,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {};
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {};
- const char *read(void *dest, const char *str);
- };
-
-@@ -849,7 +860,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode) {};
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {};
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {};
- const char *read(void *dest, const char *str);
- };
-
-@@ -879,7 +890,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
-
- floatingpoint check(floatingpoint value) {
-@@ -914,7 +925,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
-
- enumeration check(integer value) {
-@@ -954,7 +965,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) ;
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) ;
- const char *read(void *dest, const char *str);
-
- physical check(physical value) {
-@@ -1087,7 +1098,7 @@
- bool assign(void *dest, const void *src) { return false; };
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) ;
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) ;
- const char *read(void *dest, const char *str);
- };
-
-@@ -1230,7 +1241,7 @@
- bool assign(void *dest, const void *src) { return false; };
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
- };
-
---- a/freehdl/vaul-lexer.h
-+++ b/freehdl/vaul-lexer.h
-@@ -115,7 +114,7 @@
- IR_String expand_bitstring(const char *, int len);
-
- int LexerInput(char *buf, int max_size);
-- void LexerError(char *msg);
-+ void LexerError(const char *msg);
- void message(char *fmt, va_list ap);
- void message(vaul_yyltype &loc, char *fmt, va_list ap);
-
---- a/kernel/driver_info.cc
-+++ b/kernel/driver_info.cc
-@@ -270,6 +270,7 @@
-
-
- // Creates transaction composite signals. Returns number of assigned scalars.
-+inline int do_record_transport_assignment(driver_info &, const record_base &, int, const vtime &);
- inline int
- do_array_transport_assignment(driver_info &driver, const array_base &value, int first, const vtime &tr_time)
- {
-@@ -311,7 +312,6 @@
- assigned_scalars += do_array_transport_assignment(driver, (array_base&)value.data[j], i, tr_time);
- break;
- case RECORD:
-- inline int do_record_transport_assignment(driver_info &, const record_base &, int, const vtime &);
- assigned_scalars += do_record_transport_assignment(driver, (record_base&)value.data[j], i, tr_time);
- break;
- }
-@@ -339,6 +339,7 @@
-
-
- // Creates transaction for composite signals. Returns number of assigned scalars.
-+inline int do_record_inertial_assignment(driver_info &, const record_base &, int, const vtime &, const vtime &);
- inline int
- do_array_inertial_assignment(driver_info &driver,
- const array_base &value, int first,
-@@ -381,8 +382,6 @@
- assigned_scalars += do_array_inertial_assignment(driver, (array_base&)value.data[j], i, tr_time, rm_time);
- break;
- case RECORD:
-- inline int do_record_inertial_assignment(driver_info &, const record_base &, int,
-- const vtime &, const vtime &);
- assigned_scalars += do_record_inertial_assignment(driver, (record_base&)value.data[j], i, tr_time, rm_time);
- break;
- }
---- a/kernel/dump.cc
-+++ b/kernel/dump.cc
-@@ -90,7 +90,7 @@
-
-
- // find_translation table
--char *
-+const char *
- signal_dump::find_table(type_info_interface* type)
- {
- switch(type->id)
---- a/kernel/fhdl_stream.cc
-+++ b/kernel/fhdl_stream.cc
-@@ -60,7 +63,7 @@
- }
-
- fhdl_ostream_t &
--fhdl_ostream_t::operator<<(char *p)
-+fhdl_ostream_t::operator<<(const char *p)
- {
- if (!socket_connection)
- *str << p;
---- a/std/vhdl_types.cc
-+++ b/std/vhdl_types.cc
-@@ -1013,7 +1016,7 @@
- }
-
- void
--integer_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure)
-+integer_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- integer op =*((integer*)src);
- static char result[INTEGER_SIZE_LD + 1];
-@@ -1306,7 +1309,7 @@
- }
-
- void
--float_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {
-+float_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {
- // should be definitly enough characters to hold a string
- // representation of a double
- static char rbuffer[8*sizeof(double)];
-@@ -1432,7 +1435,7 @@
-
-
- void
--enum_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure)
-+enum_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- if (translation_table != NULL) {
- const char output = translation_table[*((enumeration*)src)];
-@@ -1583,7 +1586,7 @@
- }
-
- void
--physical_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {
-+physical_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {
- str << *((physical*)src) << " " << units[0];
- }
-
-@@ -1778,7 +1781,7 @@
- }
-
- // Temporary VCD_Print function
--void array_info::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure)
-+void array_info::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- //str.clean();
- int length = ((array_base*)src)->info->length;
-@@ -2090,7 +2093,7 @@
-
-
- // Temporary VCD_Print function
--void record_info::vcd_print(buffer_stream &str, const void *src, char* translation_table, bool pure)
-+void record_info::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- record_base &record = *(record_base*)src;
- record_info &rinfo = *record.info;
---- a/vaul/lexer.cc
-+++ b/vaul/lexer.cc
-@@ -2075,7 +2075,7 @@
- }
-
- void
--vaul_lexer::LexerError (char *m)
-+vaul_lexer::LexerError (const char *m)
- {
- if (prt)
- prt->fprintf (log, "%?%s %C\n", this, m, this);
diff --git a/sci-electronics/freehdl/freehdl-0.0.8.ebuild b/sci-electronics/freehdl/freehdl-0.0.8.ebuild
deleted file mode 100644
index 9bb8acab3f66..000000000000
--- a/sci-electronics/freehdl/freehdl-0.0.8.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-# Copyright 1999-2016 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-DESCRIPTION="A free VHDL simulator"
-SRC_URI="mirror://sourceforge/qucs/${P}.tar.gz"
-HOMEPAGE="http://freehdl.seul.org/"
-LICENSE="GPL-2"
-
-SLOT="0"
-IUSE=""
-KEYWORDS="~amd64 ~ppc ~x86"
-
-RDEPEND=">=dev-scheme/guile-2.0:*"
-DEPEND="virtual/pkgconfig"
-
-PATCHES=(
- "${FILESDIR}/${PN}-0.0.8-fix-c++14.patch"
- "${FILESDIR}/${PN}-0.0.8-qa.patch"
-)
-
-src_configure() {
- econf --disable-static
-}
-
-src_install() {
- default
-
- # package provides .pc files
- find "${D}" -name '*.la' -delete || die
-}
diff --git a/sci-electronics/freehdl/metadata.xml b/sci-electronics/freehdl/metadata.xml
deleted file mode 100644
index 4b6dfed69f58..000000000000
--- a/sci-electronics/freehdl/metadata.xml
+++ /dev/null
@@ -1,24 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <maintainer type="project">
- <email>sci-electronics@gentoo.org</email>
- <name>Gentoo Electronics Project</name>
- </maintainer>
- <longdescription>
- Free, open source, GPL'ed VHDL simulator. The project goal is to develop a
- VHDL simulator that :
- * Has a graphical waveform viewer.
- * Has a source level debugger.
- * Is VHDL-93 compliant.
- * Is of commercial quality. (on par with, say, V-System - it'll take us a
- while to get there, but that should be our aim)
- * Is freely distributable - both source and binaries - like Linux itself.
- (Under the Gnu General Public License (GPL)).
- * Works with Linux. If others want to port it to other platforms they may,
- but it is not the goal of this project.
- </longdescription>
- <upstream>
- <remote-id type="sourceforge">qucs</remote-id>
- </upstream>
-</pkgmetadata>
diff --git a/sci-electronics/fritzing/Manifest b/sci-electronics/fritzing/Manifest
index d96da819aa9b..c6e0e183278a 100644
--- a/sci-electronics/fritzing/Manifest
+++ b/sci-electronics/fritzing/Manifest
@@ -1,2 +1,4 @@
DIST fritzing-0.9.4.tar.gz 11430102 BLAKE2B 20232b3c33308edcab188c61597f87d8a21d9b786eeab4e398c1add6e263562d1b0df95634850d5271decfa380d7b2b0c18c7f19f8b8544f330687e4cffa3700 SHA512 b148d8b93d2e452c975ca3acfd2ad5de4259f99da69c5890ebeea30730674526d480ee3830f61f6057e83b7720f07fce80ef3a74d4b718be691a92d656b755e0
+DIST fritzing-0.9.6.tar.gz 11521767 BLAKE2B 01c6ea87108b70161350b79c4878c288935c3f0a37464cf3c4e276a746edb2bbcb2c3b7bcf0b3a879232f5f79fd15b573f930b8cea96924b04b1e5b3ddade542 SHA512 d91fcf79760b9570513e8315bcb29fcd1d53684920e8563539669a0dedd164e35031e53da7ea2c12e95e564b3f95a05ce953082ffdfa54f4e4f99c50a4991a52
DIST fritzing-parts-0.9.4.tar.gz 17662003 BLAKE2B a67f4f1297793131d25e6b9c853e987fc0c5ecebd5f57b3675b7f9c4539f867ad18fc6e854c7a2bef1669e05dbc13dcc93df9b9befb8991ca64b2bc3e2a71b84 SHA512 f6f6c396eaf0b61dd56ece22f6bb8fce4369503729fd8f5550643e74a60aaad75abce9e391d63d52f12e4f3420296791fdf9832f7c8507dad2cf623e1bd8340f
+DIST fritzing-parts-0.9.6.tar.gz 14982269 BLAKE2B 0f017a2a3eb654ed26d647faa463024c52aab58df7a487c75e1636efb288dc9fad9aa8499404f381012287e6e3312a569ed2f171d20b2d5df85440d97673fe9c SHA512 d39096bcb89e2aa04c285aaddd575a54a08d016d859399133eab89d69ed37d5e5e5a5a9e838cad5879835bcdcdd9c3b484179104e04d8f96d4eef1004047162b
diff --git a/sci-electronics/fritzing/files/fritzing-0.9.6-dropping-register-keyword.patch b/sci-electronics/fritzing/files/fritzing-0.9.6-dropping-register-keyword.patch
new file mode 100644
index 000000000000..e7e9d6d27ac5
--- /dev/null
+++ b/sci-electronics/fritzing/files/fritzing-0.9.6-dropping-register-keyword.patch
@@ -0,0 +1,25 @@
+https://github.com/fritzing/fritzing-app/pull/4033
+From: Brahmajit Das <brahmajit.xyz@gmail.com>
+Date: Fri, 16 Jun 2023 06:29:28 +0000
+Subject: [PATCH] clipablewire.cpp: Dropping usage of register storage class
+
+The register storage class has been depreacted in C++14 and completely
+removed in C++17. Thus resulting in buils errors such as:
+
+clipablewire.cpp:55:2: error: ISO C++17 does not allow register storage class specifier [-Wregister]
+
+Refer: https://en.cppreference.com/w/cpp/language/storage_duration
+Bug: https://bugs.gentoo.org/898070
+Signed-off-by: Brahmajit Das <brahmajit.xyz@gmail.com>
+--- a/src/items/clipablewire.cpp
++++ b/src/items/clipablewire.cpp
+@@ -50,7 +50,7 @@ static double connectorRectClipInset = 0.5;
+ int CrossingsTest( double pgon[][2], int numverts, double point[2] )
+ {
+ #ifdef WINDING
+- register int crossings ;
++ int crossings ;
+ #endif
+ int j, yflag0, yflag1, inside_flag, xflag0 ;
+ double ty, tx, *vtx0, *vtx1 ;
+
diff --git a/sci-electronics/fritzing/files/fritzing-0.9.6-quazip-qt5.patch b/sci-electronics/fritzing/files/fritzing-0.9.6-quazip-qt5.patch
new file mode 100644
index 000000000000..9d3853c516ab
--- /dev/null
+++ b/sci-electronics/fritzing/files/fritzing-0.9.6-quazip-qt5.patch
@@ -0,0 +1,28 @@
+Fix build with QuaZip-1.0.
+
+--- a/phoenix.pro
++++ b/phoenix.pro
+@@ -194,8 +194,9 @@
+ include(test/version.pri)
+
+ contains(DEFINES, QUAZIP_INSTALLED) {
+ !build_pass:message("using installed QuaZIP library")
+- LIBS += -lquazip5
++ CONFIG += link_pkgconfig
++ PKGCONFIG += quazip1-qt5
+ } else {
+ include(pri/quazip.pri)
+ }
+--- a/src/utils/folderutils.cpp
++++ b/src/utils/folderutils.cpp
+@@ -35,8 +35,8 @@
+
+ #include "../debugdialog.h"
+ #ifdef QUAZIP_INSTALLED
+-#include <quazip5/quazip.h>
+-#include <quazip5/quazipfile.h>
++#include <quazip.h>
++#include <quazipfile.h>
+ #else
+ #include "../lib/quazip/quazip.h"
+ #include "../lib/quazip/quazipfile.h"
diff --git a/sci-electronics/fritzing/fritzing-0.9.4-r2.ebuild b/sci-electronics/fritzing/fritzing-0.9.4-r3.ebuild
index 8a0a7c564b1e..da0b0ccbba53 100644
--- a/sci-electronics/fritzing/fritzing-0.9.4-r2.ebuild
+++ b/sci-electronics/fritzing/fritzing-0.9.4-r3.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2022 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -20,7 +20,7 @@ SLOT="0"
KEYWORDS="~amd64 ~x86"
RDEPEND="
- dev-libs/quazip:0=
+ dev-libs/quazip:0=[qt5(+)]
dev-libs/libgit2:=
dev-qt/qtconcurrent:5
dev-qt/qtcore:5
diff --git a/sci-electronics/fritzing/fritzing-0.9.6.ebuild b/sci-electronics/fritzing/fritzing-0.9.6.ebuild
new file mode 100644
index 000000000000..4fb515cfa82f
--- /dev/null
+++ b/sci-electronics/fritzing/fritzing-0.9.6.ebuild
@@ -0,0 +1,75 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit qmake-utils xdg
+
+PARTS_P="${PN}-parts-${PV}"
+PARTS_COMMIT="667a5360e53e8951e5ca6c952ae928f7077a9d5e"
+
+DESCRIPTION="Electronic Design Automation"
+HOMEPAGE="
+ https://fritzing.org/
+ https://github.com/fritzing/fritzing-app/
+"
+SRC_URI="
+ https://github.com/fritzing/fritzing-app/archive/${PV}.tar.gz -> ${P}.tar.gz
+ https://github.com/fritzing/fritzing-parts/archive/${PARTS_COMMIT}.tar.gz -> ${PARTS_P}.tar.gz
+"
+S="${WORKDIR}/${PN}-app-${PV}"
+
+LICENSE="CC-BY-SA-3.0 GPL-3+"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+
+RDEPEND="
+ dev-libs/quazip:0=[qt5(+)]
+ dev-libs/libgit2:=
+ dev-qt/qtconcurrent:5
+ dev-qt/qtcore:5
+ dev-qt/qtgui:5
+ dev-qt/qtnetwork:5
+ dev-qt/qtprintsupport:5
+ dev-qt/qtserialport:5
+ dev-qt/qtsql:5[sqlite]
+ dev-qt/qtsvg:5
+ dev-qt/qtwidgets:5
+ dev-qt/qtxml:5
+"
+DEPEND="${RDEPEND}
+ dev-libs/boost
+"
+
+PATCHES=(
+ "${FILESDIR}/${PN}-0.9.4-move-parts-db-path.patch"
+ "${FILESDIR}/${PN}-0.9.6-quazip-qt5.patch"
+ "${FILESDIR}/${PN}-0.9.6-dropping-register-keyword.patch"
+)
+
+src_prepare() {
+ default
+
+ # Get a rid of the bundled libs
+ # Bug 412555 and
+ # https://code.google.com/p/fritzing/issues/detail?id=1898
+ rm -r src/lib/quazip/ pri/quazip.pri || die
+
+ # Use system libgit
+ sed -i -e 's:LIBGIT_STATIC.*:LIBGIT_STATIC = false:' phoenix.pro || die
+
+ # Add correct git version
+ sed -i -e "s:GIT_VERSION = \$\$system.*$:GIT_VERSION = ${PV}:" pri/gitversion.pri || die
+}
+
+src_configure() {
+ eqmake5 'DEFINES=QUAZIP_INSTALLED PARTS_COMMIT=\\\"'"${PARTS_COMMIT}"'\\\"' phoenix.pro
+}
+
+src_install() {
+ PARTS_DIR="${WORKDIR}/fritzing-parts-${PARTS_COMMIT}"
+ INSTALL_ROOT="${D}" default
+ insinto /usr/share/fritzing/fritzing-parts
+ doins -r ${PARTS_DIR}/*
+ einstalldocs
+}
diff --git a/sci-electronics/gazebo/Manifest b/sci-electronics/gazebo/Manifest
index a45f1992f390..975f179885b5 100644
--- a/sci-electronics/gazebo/Manifest
+++ b/sci-electronics/gazebo/Manifest
@@ -1,3 +1,2 @@
-DIST gazebo-11.3.0.tar.bz2 56778002 BLAKE2B d466556c834935d8b3694461ddf6d7a7872b00d2f8f58d50d70cceb8bca3ab4ada1e72e7bb14b79404d45c48f95419e3dedd9019ecc3a8c1623afda077bcbeb2 SHA512 2e219655e45a787aeda50065dcec5d90954c18223f134d6da90b8cb43e119268262e515e527547d0b0b5ed1f73b5083d279551a01faa3d3c34ba7a580c077970
-DIST gazebo-11.5.1.tar.bz2 56486174 BLAKE2B c1bffc5067f2739a8eb2e178bb2209d9c7b5a58d0589710cc515c4bcbbca281fb94ddf16de977f3771bc4b64fb6afe8e86327dde00d19ef62754e4d7634bb65f SHA512 7c922963881cf079e926c239f510e722fc6cabd07ef5e846a4b78772364a3aecb0b68f2b690ff451d42ceb11e88e2f831c30515e5a228f12826516ecad10e53a
-DIST gazebo-11.7.0.tar.bz2 56394312 BLAKE2B 2da58a2702113c1954f0202bb779b723ad8e59cab678f9ca6fe64908785198b996ef71c845a1a85f00e2f4eddb9ed5683f815ada850917b2af4afad214eac77d SHA512 7de40908a021655b2a200a73cf979585f4bdb245064f7e9a853998f87df329223252c73882aa1e2b705bc7de5841e2a87d67f772dfef18e5735c1b06c2663192
+DIST gazebo-11.13.0.tar.bz2 56636967 BLAKE2B 7c0ef875711e832a213631d97584edc2300d25be6d538d6f2ac782ab279b6518e1fb7c59d895a1c7b92fbaec46bb0e7c505afb34f05ab2eece016f5bd15a7c3f SHA512 a77ce4a02f723c615738d11a13aa10fa5124705bc9ca95153477d8df25b296eef7d442769379d3888a9ba0f04c1e5a3e38d686e7b5eef393b91bdc78f792c390
+DIST gazebo-11.14.0.tar.bz2 56640328 BLAKE2B 9712118339593edd6c3cc1321ffa3d60f9f986abecc858d0709a0ddd1600a00620b26141300083dcfbc8ff699ae01a21340190cda04b276d146e93ccd1bfc6dc SHA512 365bda1be67745b6b40834a059f220351559e962df5f7254c80d363a04cc4beb092d20eaa357469d87f64c00fd3c6b2480693d7b1d734b0b42a75eb0ba687e34
diff --git a/sci-electronics/gazebo/files/gv10.patch b/sci-electronics/gazebo/files/gv10.patch
new file mode 100644
index 000000000000..f3ab5eb1e686
--- /dev/null
+++ b/sci-electronics/gazebo/files/gv10.patch
@@ -0,0 +1,45 @@
+From 660ae15f4af1f5ea8d9d50d4a24e4d91a94f9c2d Mon Sep 17 00:00:00 2001
+From: Steve Peters <scpeters@openrobotics.org>
+Date: Tue, 20 Feb 2024 17:25:23 -0800
+Subject: [PATCH] Fix build with graphviz 10.0
+
+Replace TRUE with 1, since the TRUE macro has been removed.
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ gazebo/gui/qgv/QGVSubGraph.cpp | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+diff --git a/gazebo/gui/qgv/QGVSubGraph.cpp b/gazebo/gui/qgv/QGVSubGraph.cpp
+index 51925aba30..c16b69d065 100644
+--- a/gazebo/gui/qgv/QGVSubGraph.cpp
++++ b/gazebo/gui/qgv/QGVSubGraph.cpp
+@@ -44,13 +44,13 @@ QString QGVSubGraph::name() const
+
+ QGVNode *QGVSubGraph::addNode(const QString &label)
+ {
+- Agnode_t *node = agnode(_sgraph->graph(), NULL, TRUE);
++ Agnode_t *node = agnode(_sgraph->graph(), NULL, 1);
+ if (node == NULL)
+ {
+ qWarning()<<"Invalid sub node :"<<label;
+ return 0;
+ }
+- agsubnode(_sgraph->graph(), node, TRUE);
++ agsubnode(_sgraph->graph(), node, 1);
+
+ QGVNode *item = new QGVNode(new QGVNodePrivate(node), _scene);
+ item->setLabel(label);
+@@ -66,10 +66,10 @@ QGVSubGraph *QGVSubGraph::addSubGraph(const QString &_name, bool cluster)
+ if (cluster)
+ {
+ sgraph = agsubg(_sgraph->graph(),
+- ("cluster_" + _name).toLocal8Bit().data(), TRUE);
++ ("cluster_" + _name).toLocal8Bit().data(), 1);
+ }
+ else
+- sgraph = agsubg(_sgraph->graph(), _name.toLocal8Bit().data(), TRUE);
++ sgraph = agsubg(_sgraph->graph(), _name.toLocal8Bit().data(), 1);
+
+ if (sgraph == NULL)
+ {
diff --git a/sci-electronics/gazebo/files/protobuf.patch b/sci-electronics/gazebo/files/protobuf.patch
new file mode 100644
index 000000000000..c815d7a9aab3
--- /dev/null
+++ b/sci-electronics/gazebo/files/protobuf.patch
@@ -0,0 +1,226 @@
+From 92a44c60e4b38d147fd92ed480e33e61cc68c928 Mon Sep 17 00:00:00 2001
+From: Silvio Traversaro <silvio@traversaro.it>
+Date: Tue, 6 Jun 2023 13:50:07 +0200
+Subject: [PATCH 1/6] Support for protobuf >= 22 by using find_package(Protobuf
+ CONFIG)
+
+---
+ cmake/SearchForStuff.cmake | 49 +++++++++++++++++++++++++-----------
+ cmake/gazebo-config.cmake.in | 15 ++++++++---
+ 2 files changed, 46 insertions(+), 18 deletions(-)
+
+diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake
+index b1cb3f37b3..e0fbd68274 100644
+--- a/cmake/SearchForStuff.cmake
++++ b/cmake/SearchForStuff.cmake
+@@ -25,29 +25,48 @@ if (CMAKE_BUILD_TYPE)
+ endif()
+
+ ########################################
+-if (PROTOBUF_VERSION LESS 2.3.0)
++if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0)
+ BUILD_ERROR("Incorrect version: Gazebo requires protobuf version 2.3.0 or greater")
+ endif()
+
+ ########################################
+ # The Google Protobuf library for message generation + serialization
+-find_package(Protobuf REQUIRED)
+-if (NOT PROTOBUF_FOUND)
+- BUILD_ERROR ("Missing: Google Protobuf (libprotobuf-dev)")
+-endif()
+-if (NOT PROTOBUF_PROTOC_EXECUTABLE)
+- BUILD_ERROR ("Missing: Google Protobuf Compiler (protobuf-compiler)")
+-endif()
+-if (NOT PROTOBUF_PROTOC_LIBRARY)
+- BUILD_ERROR ("Missing: Google Protobuf Compiler Library (libprotoc-dev)")
++
++# Protobuf >= 22 requires to link abseil, so we are constrained to use
++# find_package(Protobuf) and link to protobuf::libprotobuf,
++# see https://github.com/conda-forge/conda-forge-pinning-feedstock/issues/4075#issuecomment-1569242816
++if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0)
++ set(GZ_PROTOBUF_USE_CMAKE_CONFIG_DEFAULT ON)
++else()
++ set(GZ_PROTOBUF_USE_CMAKE_CONFIG_DEFAULT OFF)
+ endif()
++option(GZ_PROTOBUF_USE_CMAKE_CONFIG "If true use protobuf-config.cmake to find protobuf" ${GZ_PROTOBUF_USE_CMAKE_CONFIG_DEFAULT})
++mark_as_advanced(GZ_PROTOBUF_USE_CMAKE_CONFIG)
+
+-if ("${CMAKE_BUILD_TYPE}" STREQUAL "Debug")
+- set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY_DEBUG})
+- set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_DEBUG})
++if(NOT GZ_PROTOBUF_USE_CMAKE_CONFIG)
++ find_package(Protobuf REQUIRED)
++ if (NOT PROTOBUF_FOUND)
++ BUILD_ERROR ("Missing: Google Protobuf (libprotobuf-dev)")
++ endif()
++ if (NOT PROTOBUF_PROTOC_EXECUTABLE)
++ BUILD_ERROR ("Missing: Google Protobuf Compiler (protobuf-compiler)")
++ endif()
++ if (NOT PROTOBUF_PROTOC_LIBRARY)
++ BUILD_ERROR ("Missing: Google Protobuf Compiler Library (libprotoc-dev)")
++ endif()
++ if ("${CMAKE_BUILD_TYPE}" STREQUAL "Debug")
++ set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY_DEBUG})
++ set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_DEBUG})
++ else()
++ set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY})
++ set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY})
++ endif()
+ else()
+- set (GZ_PROTOBUF_LIBRARY ${PROTOBUF_LIBRARY})
+- set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY})
++ set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf)
++ set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc)
++ if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY)
++ get_target_property(PROTOBUF_PROTOC_LIBRARY protobuf::protoc LOCATION)
++ endif()
+ endif()
+
+ ########################################
+diff --git a/cmake/gazebo-config.cmake.in b/cmake/gazebo-config.cmake.in
+index 96993f93fa..ac1de2c061 100644
+--- a/cmake/gazebo-config.cmake.in
++++ b/cmake/gazebo-config.cmake.in
+@@ -166,9 +166,18 @@ list(APPEND @PKG_NAME@_INCLUDE_DIRS ${Boost_INCLUDE_DIRS})
+ list(APPEND @PKG_NAME@_LIBRARIES ${Boost_LIBRARIES})
+
+ # Find protobuf
+-find_package(Protobuf REQUIRED)
+-list(APPEND @PKG_NAME@_INCLUDE_DIRS ${PROTOBUF_INCLUDE_DIRS})
+-list(APPEND @PKG_NAME@_LIBRARIES ${PROTOBUF_LIBRARIES})
++set(GZ_PROTOBUF_USE_CMAKE_CONFIG @GZ_PROTOBUF_USE_CMAKE_CONFIG@)
++
++if(NOT GZ_PROTOBUF_USE_CMAKE_CONFIG)
++ find_package(Protobuf REQUIRED)
++ list(APPEND @PKG_NAME@_INCLUDE_DIRS ${PROTOBUF_INCLUDE_DIRS})
++ list(APPEND @PKG_NAME@_LIBRARIES ${PROTOBUF_LIBRARIES})
++else()
++ find_package(Protobuf CONFIG REQUIRED)
++ list(APPEND @PKG_NAME@_INCLUDE_DIRS ${PROTOBUF_INCLUDE_DIRS})
++ list(APPEND @PKG_NAME@_LIBRARIES protobuf::libprotoc)
++ list(APPEND @PKG_NAME@_LIBRARIES protobuf::libprotobuf)
++endif()
+
+ # Find SDFormat
+ find_package(sdformat9 REQUIRED VERSION 9.8)
+
+From 83f05b7778ff2933d8bd3b9149207dd17a20b9b4 Mon Sep 17 00:00:00 2001
+From: Silvio Traversaro <silvio@traversaro.it>
+Date: Tue, 6 Jun 2023 14:18:48 +0200
+Subject: [PATCH 2/6] Update SearchForStuff.cmake
+
+---
+ cmake/SearchForStuff.cmake | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake
+index e0fbd68274..d25b7a4a63 100644
+--- a/cmake/SearchForStuff.cmake
++++ b/cmake/SearchForStuff.cmake
+@@ -25,7 +25,7 @@ if (CMAKE_BUILD_TYPE)
+ endif()
+
+ ########################################
+-if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0)
++if (PROTOBUF_VERSION LESS 2.3.0)
+ BUILD_ERROR("Incorrect version: Gazebo requires protobuf version 2.3.0 or greater")
+ endif()
+
+
+From 398e832301429b2f406a5a0e35187ca8fabd50ad Mon Sep 17 00:00:00 2001
+From: Silvio Traversaro <silvio@traversaro.it>
+Date: Tue, 6 Jun 2023 23:25:06 +0200
+Subject: [PATCH 3/6] Update SearchForStuff.cmake
+
+---
+ cmake/SearchForStuff.cmake | 1 +
+ 1 file changed, 1 insertion(+)
+
+diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake
+index d25b7a4a63..2f0b53358f 100644
+--- a/cmake/SearchForStuff.cmake
++++ b/cmake/SearchForStuff.cmake
+@@ -62,6 +62,7 @@ if(NOT GZ_PROTOBUF_USE_CMAKE_CONFIG)
+ set (GZ_PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY})
+ endif()
+ else()
++ find_package(Protobuf CONFIG REQUIRED)
+ set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf)
+ set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc)
+ if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY)
+
+From d46bf2353a25b38b9613b67f2567f729e133d2b7 Mon Sep 17 00:00:00 2001
+From: Silvio Traversaro <silvio@traversaro.it>
+Date: Tue, 6 Jun 2023 23:55:22 +0200
+Subject: [PATCH 4/6] Update SearchForStuff.cmake
+
+---
+ cmake/SearchForStuff.cmake | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake
+index 2f0b53358f..1bbadd3c29 100644
+--- a/cmake/SearchForStuff.cmake
++++ b/cmake/SearchForStuff.cmake
+@@ -66,7 +66,9 @@ else()
+ set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf)
+ set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc)
+ if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY)
+- get_target_property(PROTOBUF_PROTOC_LIBRARY protobuf::protoc LOCATION)
++ get_target_property(PROTOBUF_PROTOC_LIBRARY_VAR protobuf::protoc LOCATION)
++ set(PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_VAR} CACHE STRING "")
++ mark_as_advanced(PROTOBUF_PROTOC_LIBRARY)
+ endif()
+ endif()
+
+
+From f372ef83b99bf2dc2f4a456ab74c7831c347ec89 Mon Sep 17 00:00:00 2001
+From: Silvio Traversaro <silvio@traversaro.it>
+Date: Wed, 7 Jun 2023 00:39:40 +0200
+Subject: [PATCH 5/6] Update SearchForStuff.cmake
+
+---
+ cmake/SearchForStuff.cmake | 6 ++----
+ 1 file changed, 2 insertions(+), 4 deletions(-)
+
+diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake
+index 1bbadd3c29..c400bee0e3 100644
+--- a/cmake/SearchForStuff.cmake
++++ b/cmake/SearchForStuff.cmake
+@@ -65,10 +65,8 @@ else()
+ find_package(Protobuf CONFIG REQUIRED)
+ set (GZ_PROTOBUF_LIBRARY protobuf::libprotobuf)
+ set (GZ_PROTOBUF_PROTOC_LIBRARY protobuf::libprotoc)
+- if(NOT DEFINED PROTOBUF_PROTOC_LIBRARY)
+- get_target_property(PROTOBUF_PROTOC_LIBRARY_VAR protobuf::protoc LOCATION)
+- set(PROTOBUF_PROTOC_LIBRARY ${PROTOBUF_PROTOC_LIBRARY_VAR} CACHE STRING "")
+- mark_as_advanced(PROTOBUF_PROTOC_LIBRARY)
++ if(NOT DEFINED PROTOBUF_PROTOC_EXECUTABLE)
++ get_target_property(PROTOBUF_PROTOC_EXECUTABLE protobuf::protoc LOCATION)
+ endif()
+ endif()
+
+
+From c4674eee1ad234d4a74bafc1d3ec401b595d3d63 Mon Sep 17 00:00:00 2001
+From: Steve Peters <computersthatmove@gmail.com>
+Date: Wed, 14 Jun 2023 09:27:16 -0700
+Subject: [PATCH 6/6] Fix whitespace
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ cmake/SearchForStuff.cmake | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/cmake/SearchForStuff.cmake b/cmake/SearchForStuff.cmake
+index c400bee0e3..948cf0a8a1 100644
+--- a/cmake/SearchForStuff.cmake
++++ b/cmake/SearchForStuff.cmake
+@@ -32,7 +32,7 @@ endif()
+ ########################################
+ # The Google Protobuf library for message generation + serialization
+
+-# Protobuf >= 22 requires to link abseil, so we are constrained to use
++# Protobuf >= 22 requires to link abseil, so we are constrained to use
+ # find_package(Protobuf) and link to protobuf::libprotobuf,
+ # see https://github.com/conda-forge/conda-forge-pinning-feedstock/issues/4075#issuecomment-1569242816
+ if (DEFINED PROTOBUF_VERSION AND PROTOBUF_VERSION GREATER_EQUAL 22.0)
diff --git a/sci-electronics/gazebo/files/qwt.patch b/sci-electronics/gazebo/files/qwt.patch
deleted file mode 100644
index d4f1538900bc..000000000000
--- a/sci-electronics/gazebo/files/qwt.patch
+++ /dev/null
@@ -1,17 +0,0 @@
-Index: gazebo-8.0.0/cmake/SearchForStuff.cmake
-===================================================================
---- gazebo-8.0.0.orig/cmake/SearchForStuff.cmake
-+++ gazebo-8.0.0/cmake/SearchForStuff.cmake
-@@ -758,10 +758,10 @@ find_path(QWT_INCLUDE_DIR NAMES qwt.h PA
- /usr/local/lib/qwt.framework/Headers
- ${QWT_WIN_INCLUDE_DIR}
-
-- PATH_SUFFIXES qwt qwt5
-+ PATH_SUFFIXES qwt6
- )
-
--find_library(QWT_LIBRARY NAMES qwt-qt5 qwt PATHS
-+find_library(QWT_LIBRARY NAMES qwt6-qt5 qwt PATHS
- /usr/lib
- /usr/local/lib
- /usr/local/lib/qwt.framework
diff --git a/sci-electronics/gazebo/files/qwt2.patch b/sci-electronics/gazebo/files/qwt2.patch
new file mode 100644
index 000000000000..08e406055970
--- /dev/null
+++ b/sci-electronics/gazebo/files/qwt2.patch
@@ -0,0 +1,17 @@
+Index: gazebo-11.10.2/cmake/SearchForStuff.cmake
+===================================================================
+--- gazebo-11.10.2.orig/cmake/SearchForStuff.cmake
++++ gazebo-11.10.2/cmake/SearchForStuff.cmake
+@@ -847,10 +847,10 @@ find_path(QWT_INCLUDE_DIR NAMES qwt.h PA
+ /usr/local/lib/qwt.framework/Headers
+ ${QWT_WIN_INCLUDE_DIR}
+
+- PATH_SUFFIXES qwt qwt5
++ PATH_SUFFIXES qwt qwt5 qwt6
+ )
+
+-find_library(QWT_LIBRARY NAMES qwt-qt5 qwt PATHS
++find_library(QWT_LIBRARY NAMES qwt6-qt5 qwt-qt5 qwt PATHS
+ /usr/local/lib
+ /usr/local/lib/qwt.framework
+ ${QWT_WIN_LIBRARY_DIR}
diff --git a/sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild b/sci-electronics/gazebo/gazebo-11.13.0.ebuild
index 82a828bfbcba..801fdd8a98a1 100644
--- a/sci-electronics/gazebo/gazebo-11.3.0-r2.ebuild
+++ b/sci-electronics/gazebo/gazebo-11.13.0.ebuild
@@ -1,12 +1,12 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
-inherit cmake flag-o-matic
+inherit cmake
DESCRIPTION="A 3D multiple robot simulator with dynamics"
-HOMEPAGE="http://gazebosim.org/"
+HOMEPAGE="https://gazebosim.org/home"
SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2"
LICENSE="Apache-2.0"
@@ -32,18 +32,18 @@ RDEPEND="
>=media-video/ffmpeg-2.6:0=
sci-libs/gts
>=sci-physics/bullet-2.82:=
- >=dev-libs/sdformat-9.1:=
+ >=dev-libs/sdformat-9.8:=
dev-qt/qtwidgets:5
dev-qt/qtcore:5
dev-qt/qtopengl:5
- dev-libs/boost:=[threads(+)]
+ dev-libs/boost:=
sci-libs/gdal:=
virtual/libusb:1
dev-libs/libspnav
media-libs/freeimage
sci-libs/hdf5:=[cxx]
sys-apps/util-linux
- media-gfx/graphviz
+ >=media-gfx/graphviz-4
net-libs/ignition-msgs:5=
sci-libs/ignition-math:6=
net-libs/ignition-transport:8=
@@ -57,14 +57,15 @@ DEPEND="${RDEPEND}
test? ( dev-libs/libxslt )
"
BDEPEND="
- app-text/ronn
+ app-text/ronn-ng
app-arch/gzip
virtual/pkgconfig
"
CMAKE_BUILD_TYPE=RelWithDebInfo
PATCHES=(
- "${FILESDIR}/qwt.patch"
+ "${FILESDIR}/qwt2.patch"
"${FILESDIR}/cmake.patch"
+ "${FILESDIR}/protobuf.patch"
)
src_configure() {
diff --git a/sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild b/sci-electronics/gazebo/gazebo-11.14.0.ebuild
index 82a828bfbcba..1746085d2235 100644
--- a/sci-electronics/gazebo/gazebo-11.7.0-r1.ebuild
+++ b/sci-electronics/gazebo/gazebo-11.14.0.ebuild
@@ -1,12 +1,12 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
-inherit cmake flag-o-matic
+inherit cmake
DESCRIPTION="A 3D multiple robot simulator with dynamics"
-HOMEPAGE="http://gazebosim.org/"
+HOMEPAGE="https://gazebosim.org/home"
SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2"
LICENSE="Apache-2.0"
@@ -32,18 +32,18 @@ RDEPEND="
>=media-video/ffmpeg-2.6:0=
sci-libs/gts
>=sci-physics/bullet-2.82:=
- >=dev-libs/sdformat-9.1:=
+ >=dev-libs/sdformat-9.8:=
dev-qt/qtwidgets:5
dev-qt/qtcore:5
dev-qt/qtopengl:5
- dev-libs/boost:=[threads(+)]
+ dev-libs/boost:=
sci-libs/gdal:=
virtual/libusb:1
dev-libs/libspnav
media-libs/freeimage
sci-libs/hdf5:=[cxx]
sys-apps/util-linux
- media-gfx/graphviz
+ >=media-gfx/graphviz-4
net-libs/ignition-msgs:5=
sci-libs/ignition-math:6=
net-libs/ignition-transport:8=
@@ -57,14 +57,15 @@ DEPEND="${RDEPEND}
test? ( dev-libs/libxslt )
"
BDEPEND="
- app-text/ronn
+ app-text/ronn-ng
app-arch/gzip
virtual/pkgconfig
"
CMAKE_BUILD_TYPE=RelWithDebInfo
PATCHES=(
- "${FILESDIR}/qwt.patch"
+ "${FILESDIR}/qwt2.patch"
"${FILESDIR}/cmake.patch"
+ "${FILESDIR}/gv10.patch"
)
src_configure() {
diff --git a/sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild b/sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild
deleted file mode 100644
index 82a828bfbcba..000000000000
--- a/sci-electronics/gazebo/gazebo-11.5.1-r2.ebuild
+++ /dev/null
@@ -1,83 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit cmake flag-o-matic
-
-DESCRIPTION="A 3D multiple robot simulator with dynamics"
-HOMEPAGE="http://gazebosim.org/"
-SRC_URI="https://osrf-distributions.s3.amazonaws.com/gazebo/releases/${P}.tar.bz2"
-
-LICENSE="Apache-2.0"
-# Subslot = major version = soname of libs
-SLOT="0/11"
-KEYWORDS="~amd64"
-IUSE="cpu_flags_x86_sse2 test"
-RESTRICT="!test? ( test )"
-
-RDEPEND="
- >=dev-libs/protobuf-2:=
- virtual/opengl
- media-libs/openal
- net-misc/curl
- dev-libs/tinyxml
- >=dev-libs/tinyxml2-6:=
- dev-libs/libtar
- dev-cpp/tbb:=
- >=dev-games/ogre-1.7.4:=[freeimage]
- <dev-games/ogre-1.10
- >=media-libs/freeimage-3.15.4[png]
- sci-libs/libccd
- >=media-video/ffmpeg-2.6:0=
- sci-libs/gts
- >=sci-physics/bullet-2.82:=
- >=dev-libs/sdformat-9.1:=
- dev-qt/qtwidgets:5
- dev-qt/qtcore:5
- dev-qt/qtopengl:5
- dev-libs/boost:=[threads(+)]
- sci-libs/gdal:=
- virtual/libusb:1
- dev-libs/libspnav
- media-libs/freeimage
- sci-libs/hdf5:=[cxx]
- sys-apps/util-linux
- media-gfx/graphviz
- net-libs/ignition-msgs:5=
- sci-libs/ignition-math:6=
- net-libs/ignition-transport:8=
- sci-libs/ignition-common:3=
- sci-libs/ignition-fuel-tools:4=
- x11-libs/qwt:6=[qt5(+)]
-"
-DEPEND="${RDEPEND}
- dev-qt/qttest:5
- x11-apps/mesa-progs
- test? ( dev-libs/libxslt )
-"
-BDEPEND="
- app-text/ronn
- app-arch/gzip
- virtual/pkgconfig
-"
-CMAKE_BUILD_TYPE=RelWithDebInfo
-PATCHES=(
- "${FILESDIR}/qwt.patch"
- "${FILESDIR}/cmake.patch"
-)
-
-src_configure() {
- # find OGRE properly
- sed -e "s#lib/OGRE#$(get_libdir)/OGRE#" -i cmake/gazebo-config.cmake.in || die
-
- local mycmakeargs=(
- "-DUSE_UPSTREAM_CFLAGS=OFF"
- "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)"
- "-DUSE_HOST_CFLAGS=FALSE"
- "-DBUILD_TESTING=$(usex test TRUE FALSE)"
- "-DENABLE_SCREEN_TESTS=FALSE"
- "-DUSE_EXTERNAL_TINYXML2=TRUE"
- )
- cmake_src_configure
-}
diff --git a/sci-electronics/geda/Manifest b/sci-electronics/geda/Manifest
index d10e265b6bb7..c84543cdf7b2 100644
--- a/sci-electronics/geda/Manifest
+++ b/sci-electronics/geda/Manifest
@@ -1 +1 @@
-DIST geda-gaf-1.9.2.tar.gz 10612225 BLAKE2B d09accde32f672b984bc2541404efccc886edb15e985bab287b4a619f11fc10cfd2b3ce3074d82c1a61811c4df9e515ecfbc61bd537c6943188b130062bda97f SHA512 5e26ea2cffffe50bd4f0bdb4594af9cc4510386d29c715c2b4ea99c6522838a08cc2045bf65e8b1da01ab33a266fc9f03da42e28b8cc4d5c030001777ab077e3
+DIST geda-gaf-1.10.2.tar.gz 14441491 BLAKE2B d6ecc4bc1390ff457396ae406fdeee909418f63d8c50e537215fa0a1131cfda91339f44ddc2c91ca0f3772f4416be1c4448fea45336c78bb601fb9b4df399b14 SHA512 c7beabf019762d9d3b4faa63f1345fb064ebbb6e0203a6c5bcab6b740d20db9f3cedf85c2f99e3ab1cb5396c06886fad1dbd5201eb7bad9e77c03511cf23b1a1
diff --git a/sci-electronics/geda/files/geda-1.10.2-drop-xorn.patch b/sci-electronics/geda/files/geda-1.10.2-drop-xorn.patch
new file mode 100644
index 000000000000..f1532677bd53
--- /dev/null
+++ b/sci-electronics/geda/files/geda-1.10.2-drop-xorn.patch
@@ -0,0 +1,60 @@
+diff --git a/Makefile.am b/Makefile.am
+index 161083c..d54eded 100644
+--- a/Makefile.am
++++ b/Makefile.am
+@@ -2,7 +2,7 @@ if ENABLE_GATTRIB
+ GATTRIB_DIR=gattrib
+ endif
+ SUBDIRS = libgeda libgedacairo gaf gschem ${GATTRIB_DIR} \
+- gsymcheck gnetlist-legacy utils symbols docs examples contrib xorn
++ gsymcheck gnetlist-legacy utils symbols docs examples contrib
+
+ ACLOCAL_AMFLAGS = -I m4
+
+diff --git a/Makefile.in b/Makefile.in
+index 31778d8..59789e6 100644
+--- a/Makefile.in
++++ b/Makefile.in
+@@ -180,7 +180,7 @@ ETAGS = etags
+ CTAGS = ctags
+ CSCOPE = cscope
+ DIST_SUBDIRS = libgeda libgedacairo gaf gschem gattrib gsymcheck \
+- gnetlist-legacy utils symbols docs examples contrib xorn
++ gnetlist-legacy utils symbols docs examples contrib
+ am__DIST_COMMON = $(srcdir)/Makefile.in $(srcdir)/config.h.in \
+ $(top_srcdir)/build-tools/ar-lib \
+ $(top_srcdir)/build-tools/compile \
+@@ -454,7 +454,7 @@ top_builddir = @top_builddir@
+ top_srcdir = @top_srcdir@
+ @ENABLE_GATTRIB_TRUE@GATTRIB_DIR = gattrib
+ SUBDIRS = libgeda libgedacairo gaf gschem ${GATTRIB_DIR} \
+- gsymcheck gnetlist-legacy utils symbols docs examples contrib xorn
++ gsymcheck gnetlist-legacy utils symbols docs examples contrib
+
+ ACLOCAL_AMFLAGS = -I m4
+
+diff --git a/autogen.sh b/autogen.sh
+index 7b82f04..4d81174 100755
+--- a/autogen.sh
++++ b/autogen.sh
+@@ -225,4 +225,4 @@ fi
+ run_tool "$AUTOHEADER" &&
+ run_tool "$AUTOMAKE" -Wall --copy --add-missing --gnu &&
+ run_tool "$AUTOCONF" &&
+- (cd xorn && autoreconf -if))
++ )
+
+ #####################################################################
+diff --git a/configure.ac b/configure.ac
+index 1f19064..257ee20 100644
+--- a/configure.ac
++++ b/configure.ac
+@@ -197,8 +197,6 @@ AX_LIBGEDACAIRO([1:3:0])
+ export GEDADATADIR
+ export GEDARCDIR
+
+-AC_CONFIG_SUBDIRS([xorn])
+-
+ #####################################################################
+ # Generate output
+ #####################################################################
diff --git a/sci-electronics/geda/files/geda-1.10.2-fix-gtk-sheet.patch b/sci-electronics/geda/files/geda-1.10.2-fix-gtk-sheet.patch
new file mode 100644
index 000000000000..d351b84b390f
--- /dev/null
+++ b/sci-electronics/geda/files/geda-1.10.2-fix-gtk-sheet.patch
@@ -0,0 +1,15 @@
+https://bugs.gentoo.org/834834
+From: Maciej S. Szmigiero <mail@maciej.szmigiero.name>
+--- a/gattrib/src/gtksheet_2_2.c
++++ b/gattrib/src/gtksheet_2_2.c
+@@ -8102,7 +8102,9 @@
+ return;
+ }
+
+- gtk_sheet_get_cell_area(sheet, row, col, &area);
++ if (!gtk_sheet_get_cell_area(sheet, row, col, &area))
++ return;
++
+ child = gtk_sheet_put(sheet, widget, area.x, area.y);
+ child->attached_to_cell = TRUE;
+ child->row = row;
diff --git a/sci-electronics/geda/files/geda-1.9.2-fno-common.patch b/sci-electronics/geda/files/geda-1.9.2-fno-common.patch
deleted file mode 100644
index c509b42904a8..000000000000
--- a/sci-electronics/geda/files/geda-1.9.2-fno-common.patch
+++ /dev/null
@@ -1,97 +0,0 @@
---- a/gschem/include/globals.h
-+++ b/gschem/include/globals.h
-@@ -27,7 +27,7 @@
- extern GList *global_window_list;
-
- /* Manager for recently used files */
--GtkRecentManager *recent_manager;
-+extern GtkRecentManager *recent_manager;
-
- /* colors */
- extern GdkColor white;
---- a/gschem/src/globals.c
-+++ b/gschem/src/globals.c
-@@ -28,6 +28,8 @@
- /* window list */
- GList *global_window_list = NULL;
-
-+GtkRecentManager *recent_manager = NULL;
-+
- char *rc_filename = NULL;
- char *output_filename = NULL;
-
---- a/gattrib/include/globals.h
-+++ b/gattrib/include/globals.h
-@@ -88,7 +88,7 @@
- * structs.h
- */
- /*------------------------------------------------------------------*/
--TOPLEVEL *pr_current;
-+extern TOPLEVEL *pr_current;
-
- /*------------------------------------------------------------------*/
- /*!
-@@ -97,7 +97,7 @@ TOPLEVEL *pr_current;
- * callbacks. It is defined in structs.h
- */
- /*------------------------------------------------------------------*/
--SHEET_DATA *sheet_head;
-+extern SHEET_DATA *sheet_head;
-
- /*------------------------------------------------------------------
- * GTKsheet includes: stuff for dealing with windows.
-@@ -106,18 +106,18 @@ SHEET_DATA *sheet_head;
- #define DEFAULT_SPACE 8
- #define NUM_SHEETS 3 /* Components, Nets, and Pins */
-
--GtkWidget *window; /* Main window */
--GtkWidget *notebook;
-+extern GtkWidget *window; /* Main window */
-+extern GtkWidget *notebook;
-
--GtkSheet **sheets; /* These are the spreadsheet widgets themselves */
-+extern GtkSheet **sheets; /* These are the spreadsheet widgets themselves */
-
--GtkWidget **scrolled_windows;
--GtkWidget *entry;
--GtkWidget *location;
--GtkWidget *left_button;
--GtkWidget *center_button;
--GtkWidget *right_button;
--GtkWidget *label;
-+extern GtkWidget **scrolled_windows;
-+extern GtkWidget *entry;
-+extern GtkWidget *location;
-+extern GtkWidget *left_button;
-+extern GtkWidget *center_button;
-+extern GtkWidget *right_button;
-+extern GtkWidget *label;
-
- /* command line switch settings */
- extern int verbose_mode;
---- a/gattrib/src/globals.c
-+++ b/gattrib/src/globals.c
-@@ -34,6 +34,23 @@
- #include "../include/prototype.h" /* function prototypes */
- #include "../include/globals.h"
-
-+TOPLEVEL *pr_current;
-+
-+SHEET_DATA *sheet_head;
-+
-+GtkWidget *window;
-+GtkWidget *notebook;
-+
-+GtkSheet **sheets;
-+
-+GtkWidget **scrolled_windows;
-+GtkWidget *entry;
-+GtkWidget *location;
-+GtkWidget *left_button;
-+GtkWidget *center_button;
-+GtkWidget *right_button;
-+GtkWidget *label;
-+
- /* command line arguments */
- int verbose_mode=FALSE; //!< Reflects the value of the command line flag
- int quiet_mode=FALSE; //!< Reflects the value of the command line flag
diff --git a/sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch b/sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch
deleted file mode 100644
index 631673367801..000000000000
--- a/sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch
+++ /dev/null
@@ -1,16 +0,0 @@
---- geda-gaf-1.9.2/m4/geda-guile.m4
-+++ geda-gaf-1.9.2/m4/geda-guile.m4
-@@ -35,8 +35,13 @@
- GUILE_MIN_TEENY=`echo ${GUILE_MIN_VER} | sed -e 's;.*\.;;'`
-
- _found_pkg_config_guile=yes
-+ PKG_CHECK_MODULES(GUILE, [guile-2.2 >= $GUILE_MIN_VER],
-+ [GUILE_PKG_NAME=guile-2.2], [_found_pkg_config_guile=no])
-+
-+ if test "${_found_pkg_config_guile}" = "no" ; then
- PKG_CHECK_MODULES(GUILE, [guile-2.0 >= $GUILE_MIN_VER],
- [GUILE_PKG_NAME=guile-2.0], [_found_pkg_config_guile=no])
-+ fi
-
- if test "${_found_pkg_config_guile}" = "no" ; then
- PKG_CHECK_MODULES(GUILE, [guile-1.8 >= $GUILE_MIN_VER],
diff --git a/sci-electronics/geda/geda-1.10.2-r2.ebuild b/sci-electronics/geda/geda-1.10.2-r2.ebuild
new file mode 100644
index 000000000000..24b2e1b10dd4
--- /dev/null
+++ b/sci-electronics/geda/geda-1.10.2-r2.ebuild
@@ -0,0 +1,83 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DOCS_BUILDER="doxygen"
+DOCS_DEPEND="
+ media-gfx/graphviz
+ media-gfx/imagemagick
+ virtual/latex-base
+"
+inherit autotools docs xdg
+
+MY_PN=${PN}-gaf
+MY_P=${MY_PN}-${PV}
+
+DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
+HOMEPAGE="http://geda-project.org/ http://wiki.geda-project.org/geda:gaf"
+SRC_URI="http://ftp.geda-project.org/${MY_PN}/stable/v$(ver_cut 1-2)/${PV}/${MY_P}.tar.gz"
+S="${WORKDIR}/${MY_P}"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ~ppc ~x86"
+IUSE="debug fam nls"
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RDEPEND="${PYTHON_DEPS}
+ dev-libs/glib:2
+ dev-scheme/guile
+ sci-electronics/electronics-menu
+ x11-libs/cairo
+ x11-libs/gdk-pixbuf
+ x11-libs/gtk+:2
+ x11-libs/pango
+ nls? ( virtual/libintl )
+ fam? ( app-admin/gamin )
+"
+
+DEPEND="${RDEPEND}
+ dev-util/desktop-file-utils
+ x11-misc/shared-mime-info"
+BDEPEND="
+ sys-apps/groff
+ virtual/pkgconfig
+ nls? ( sys-devel/gettext )
+"
+
+PATCHES=(
+ # Xorn requires python2
+ "${FILESDIR}/${P}-drop-xorn.patch"
+
+ "${FILESDIR}/${PN}-1.10.2-fix-gtk-sheet.patch"
+)
+
+src_prepare() {
+ default
+ rm -r xorn || die
+
+ # remove compressed files, compressed by portage in install phase
+ rm docs/wiki/media/geda/gsch2pcb-libs.tar.gz || die
+ rm docs/wiki/media/geda/pcb_plugin_template.tar.gz || die
+ rm docs/wiki/media/pcb/plugin_debug_window.tar.gz || die
+
+ # -Wmaybe-uninitialized is made fatal, which is not ideal for building
+ # releases. Upstream is working on fixing these anyway.
+ sed -i '/Werror_maybe_uninitialized_IF_SUPPORTED/d' configure.ac || die
+
+ eautoreconf
+}
+
+src_configure() {
+ local myconf=(
+ --disable-rpath
+ --disable-update-xdg-database
+ $(use_enable doc doxygen)
+ $(use_enable debug assert)
+ $(use_enable nls)
+ $(use_with fam libfam)
+ )
+
+ econf "${myconf[@]}"
+}
diff --git a/sci-electronics/geda/geda-1.9.2-r1.ebuild b/sci-electronics/geda/geda-1.9.2-r1.ebuild
deleted file mode 100644
index e4657a2c3eeb..000000000000
--- a/sci-electronics/geda/geda-1.9.2-r1.ebuild
+++ /dev/null
@@ -1,95 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit autotools xdg
-
-MY_PN=${PN}-gaf
-MY_P=${MY_PN}-${PV}
-
-DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
-HOMEPAGE="http://wiki.geda-project.org/geda:gaf"
-SRC_URI="http://ftp.geda-project.org/${MY_PN}/unstable/v$(ver_cut 1-2)/${PV}/${MY_P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
-IUSE="debug doc examples nls stroke threads"
-
-RDEPEND="
- dev-libs/glib:2
- dev-scheme/guile
- sci-electronics/electronics-menu
- x11-libs/cairo
- x11-libs/gdk-pixbuf
- x11-libs/gtk+:2
- x11-libs/pango
- nls? ( virtual/libintl )
- stroke? ( dev-libs/libstroke )"
-
-DEPEND="${RDEPEND}
- dev-util/desktop-file-utils
- x11-misc/shared-mime-info"
-BDEPEND="
- sys-apps/groff
- virtual/pkgconfig
- nls? ( sys-devel/gettext )"
-
-S="${WORKDIR}/${MY_P}"
-
-PATCHES=(
- "${FILESDIR}"/${P}-guile-2.2.patch
- "${FILESDIR}"/${P}-fno-common.patch
-)
-
-src_prepare() {
- default
-
- if ! use doc ; then
- sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
- fi
- if ! use examples ; then
- sed -i -e 's/\texamples$//' Makefile.in || die
- fi
-
- # add missing GIO_LIB Bug #684870
- sed -i -e 's/gsymcheck_LDFLAGS =/gsymcheck_LDFLAGS = $(GIO_LIBS)/' \
- gsymcheck/src/Makefile.am || die
-
- sed -i -e 's/gnetlist_LDFLAGS =/gnetlist_LDFLAGS = $(GIO_LIBS)/' \
- gnetlist/src/Makefile.am || die
-
- sed -i -e 's/gschlas_LDFLAGS =/gschlas_LDFLAGS = $(GIO_LIBS)/' \
- utils/gschlas/Makefile.am || die
-
- sed -i -e 's/sarlacc_schem_LDFLAGS =/sarlacc_schem_LDFLAGS = $(GIO_LIBS)/' \
- contrib/sarlacc_schem/Makefile.am || die
-
- rm docs/wiki/media/geda/gsch2pcb-libs.tar.gz || die
-
- eautoreconf
-}
-
-src_configure() {
- local myconf=(
- --disable-doxygen
- --disable-rpath
- --disable-update-xdg-database
- $(use_enable debug assert)
- $(use_enable nls)
- $(use_enable threads threads posix)
- $(use_with stroke libstroke)
- )
-
- econf "${myconf[@]}"
-}
-
-src_test() {
- emake -j1 check
-}
-
-src_install() {
- default
- find "${ED}" -name '*.la' -delete || die
-}
diff --git a/sci-electronics/geda/metadata.xml b/sci-electronics/geda/metadata.xml
index 41090aecc004..db3ca30f3bbe 100644
--- a/sci-electronics/geda/metadata.xml
+++ b/sci-electronics/geda/metadata.xml
@@ -18,7 +18,4 @@
The gEDA/gaf suite (this package) provides schematic capture,
netlisting, bill of materials generation, and many other features.
</longdescription>
- <use>
- <flag name="stroke">enable mouse gesture support</flag>
- </use>
</pkgmetadata>
diff --git a/sci-electronics/gerbv/gerbv-2.7.0.ebuild b/sci-electronics/gerbv/gerbv-2.7.0.ebuild
index 82242e80810c..8bca34e8cf4c 100644
--- a/sci-electronics/gerbv/gerbv-2.7.0.ebuild
+++ b/sci-electronics/gerbv/gerbv-2.7.0.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
-inherit autotools xdg
+inherit xdg
DESCRIPTION="A RS-274X (Gerber) and NC drill (Excellon) file viewer"
HOMEPAGE="http://gerbv.geda-project.org/"
@@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
+KEYWORDS="amd64 ~ppc ~x86"
IUSE="doc examples unit-mm"
RESTRICT="test"
diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
new file mode 100644
index 000000000000..73dd128b62a4
--- /dev/null
+++ b/sci-electronics/ghdl/Manifest
@@ -0,0 +1,3 @@
+DIST ghdl-4.0.0.tar.gz 7683124 BLAKE2B 2b43f1a24c9fb30f754ae6326b21fd52991f3bd69fc1c2dfbd3064d950cef74f7e66f2a846778e6429fbfae07d349f913993d91c52ec53cdad894b06f810cb03 SHA512 95b08274f2ed54556fbe2e72ce52605d9ca8269b535306348d25a259615a0610b59a61fb4458e0c1e548570361af15d45d89941bf4ab4afd2fafb10b07e69cae
+DIST ghdl-4.0.0_pre20231218.tar.gz 7761842 BLAKE2B d5b3ae4c28b245881681695cee74eca1d69092d8089cb1ec042f42d26e62aa420ace8f04899023d98259d845ea89700d77c453b892c176d4d1b367ac7a8237a6 SHA512 6b9ac7221bee5b8ec2cc7971fbad5aff615839aa3c3170fa39079c68e65da8b4a8d60f5c53d9fa88146c9f865b0d8a059fd03358e20b660b6543cf1b0c0b0c84
+DIST ghdl-4.1.0.tar.gz 7704716 BLAKE2B d69791d947ed11b62fac8e076c3b9e1bad606a0de57e8d5bd67c9a8f7ea0cad73643085efbaaa06fabdd5900366334b63385589da72f4db488f5b27b142a76f8 SHA512 0a3a465f7f8ed7fba9b81b5dec2903bf82731c97a127666e7644286c9ea80078354be4e6bf8947a6ef631b646dc7e8e69dce2d9a467ac36ee03c67d9335a2703
diff --git a/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch b/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch
new file mode 100644
index 000000000000..91cb22d6035a
--- /dev/null
+++ b/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch
@@ -0,0 +1,18 @@
+diff --git a/testsuite/testsuite.sh.orig b/testsuite/testsuite.sh
+index 0c1049f..52da6db 100755
+--- a/testsuite/testsuite.sh.orig
++++ b/testsuite/testsuite.sh
+@@ -130,9 +130,10 @@ do_test() {
+ pyunit)
+ # The Python Unit testsuite: regression testsuite for Python bindings to libghdl
+ # pyunit/dom fails with python 3.12
+- gstart "[GHDL - test] pyunit"
+- PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit/lsp pyunit/libghdl
+- gend
++ # gstart "[GHDL - test] pyunit"
++ # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit/lsp pyunit/libghdl
++ # gend
++ echo "Python Unit testsuite is disabled!"
+ ;;
+
+ vests)
diff --git a/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild b/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
new file mode 100644
index 000000000000..91b707eb0ca7
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
@@ -0,0 +1,90 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+ADA_COMPAT=( gnat_2021 gcc_13 )
+LLVM_MAX_SLOT=17 # Check "configure" script for supported LLVM versions.
+
+inherit ada edo llvm toolchain-funcs
+
+DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
+HOMEPAGE="https://ghdl.github.io/ghdl/
+ https://github.com/ghdl/ghdl/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/ghdl/${PN}.git"
+else
+ SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
+ -> ${P}.tar.gz"
+
+ KEYWORDS="~amd64 ~x86"
+fi
+
+LICENSE="GPL-2+"
+SLOT="0"
+IUSE="llvm"
+REQUIRED_USE="${ADA_REQUIRED_USE}"
+
+RDEPEND="
+ ${ADA_DEPS}
+ llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-util/patchelf
+"
+
+PATCHES=( "${FILESDIR}/${PN}-4.0.0_pre20231218-no-pyunit.patch" )
+
+pkg_setup() {
+ ada_pkg_setup
+
+ use llvm && llvm_pkg_setup
+}
+
+src_prepare() {
+ default
+
+ sed -i "s|ar rc|$(tc-getAR) rc|g" Makefile.in || die
+}
+
+src_configure() {
+ tc-export CC CXX
+
+ local -a myconf=(
+ # Build.
+ --disable-werror
+
+ # Install location.
+ --libdir=$(get_libdir)
+ --prefix=/usr
+
+ # Features.
+ --enable-libghdl
+ --enable-synth
+ )
+
+ if use llvm ; then
+ myconf+=( --with-llvm-config=llvm-config )
+ fi
+
+ # Not a autotools script!
+ edo sh ./configure "${myconf[@]}"
+}
+
+src_compile() {
+ default
+
+ patchelf --set-soname libghw.so lib/libghw.so || die
+}
+
+src_install() {
+ default
+
+ find "${ED}" -type f -name '*.a' -delete || die
+}
diff --git a/sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild b/sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild
new file mode 100644
index 000000000000..423768c69164
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild
@@ -0,0 +1,84 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+[[ "${PV}" = *_pre20231218 ]] && COMMIT=2135cbf1458bd1b8b8f42bda81222ab57bd66de6
+
+ADA_COMPAT=( gnat_2021 gcc_13 )
+LLVM_MAX_SLOT=17 # Check "configure" script for supported LLVM versions.
+
+inherit ada edo llvm toolchain-funcs
+
+DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
+HOMEPAGE="https://ghdl.github.io/ghdl/
+ https://github.com/ghdl/ghdl/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/ghdl/${PN}.git"
+else
+ SRC_URI="https://github.com/ghdl/${PN}/archive/${COMMIT}.tar.gz
+ -> ${P}.tar.gz"
+ S="${WORKDIR}/${PN}-${COMMIT}"
+
+ KEYWORDS="amd64 ~x86"
+fi
+
+LICENSE="GPL-2+"
+SLOT="0"
+IUSE="llvm"
+REQUIRED_USE="${ADA_REQUIRED_USE}"
+
+RDEPEND="
+ ${ADA_DEPS}
+ llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-util/patchelf
+"
+
+PATCHES=( "${FILESDIR}/${PN}-4.0.0_pre20231218-no-pyunit.patch" )
+
+pkg_setup() {
+ ada_pkg_setup
+
+ use llvm && llvm_pkg_setup
+}
+
+src_prepare() {
+ default
+
+ sed -i "s|ar rc|$(tc-getAR) rc|g" Makefile.in || die
+}
+
+src_configure() {
+ tc-export CC CXX
+
+ local -a myconf=(
+ --disable-werror
+
+ --libdir=$(get_libdir)
+ --prefix=/usr
+
+ --enable-libghdl
+ --enable-synth
+ )
+
+ if use llvm ; then
+ myconf+=( --with-llvm-config=llvm-config )
+ fi
+
+ # Not a autotools script!
+ edo sh ./configure "${myconf[@]}"
+}
+
+src_compile() {
+ default
+
+ patchelf --set-soname libghw.so lib/libghw.so || die
+}
diff --git a/sci-electronics/ghdl/ghdl-4.1.0.ebuild b/sci-electronics/ghdl/ghdl-4.1.0.ebuild
new file mode 100644
index 000000000000..91b707eb0ca7
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-4.1.0.ebuild
@@ -0,0 +1,90 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+ADA_COMPAT=( gnat_2021 gcc_13 )
+LLVM_MAX_SLOT=17 # Check "configure" script for supported LLVM versions.
+
+inherit ada edo llvm toolchain-funcs
+
+DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
+HOMEPAGE="https://ghdl.github.io/ghdl/
+ https://github.com/ghdl/ghdl/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/ghdl/${PN}.git"
+else
+ SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
+ -> ${P}.tar.gz"
+
+ KEYWORDS="~amd64 ~x86"
+fi
+
+LICENSE="GPL-2+"
+SLOT="0"
+IUSE="llvm"
+REQUIRED_USE="${ADA_REQUIRED_USE}"
+
+RDEPEND="
+ ${ADA_DEPS}
+ llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-util/patchelf
+"
+
+PATCHES=( "${FILESDIR}/${PN}-4.0.0_pre20231218-no-pyunit.patch" )
+
+pkg_setup() {
+ ada_pkg_setup
+
+ use llvm && llvm_pkg_setup
+}
+
+src_prepare() {
+ default
+
+ sed -i "s|ar rc|$(tc-getAR) rc|g" Makefile.in || die
+}
+
+src_configure() {
+ tc-export CC CXX
+
+ local -a myconf=(
+ # Build.
+ --disable-werror
+
+ # Install location.
+ --libdir=$(get_libdir)
+ --prefix=/usr
+
+ # Features.
+ --enable-libghdl
+ --enable-synth
+ )
+
+ if use llvm ; then
+ myconf+=( --with-llvm-config=llvm-config )
+ fi
+
+ # Not a autotools script!
+ edo sh ./configure "${myconf[@]}"
+}
+
+src_compile() {
+ default
+
+ patchelf --set-soname libghw.so lib/libghw.so || die
+}
+
+src_install() {
+ default
+
+ find "${ED}" -type f -name '*.a' -delete || die
+}
diff --git a/sci-electronics/ghdl/metadata.xml b/sci-electronics/ghdl/metadata.xml
new file mode 100644
index 000000000000..aa290daef91e
--- /dev/null
+++ b/sci-electronics/ghdl/metadata.xml
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
+
+<pkgmetadata>
+ <maintainer type="person">
+ <email>xgqt@gentoo.org</email>
+ <name>Maciej Barć</name>
+ </maintainer>
+ <longdescription>
+ GHDL is a shorthand for G Hardware Design Language (currently, G has no
+ meaning). It is a VHDL analyzer, compiler, simulator and (experimental)
+ synthesizer that can process (nearly) any VHDL design. Unlike some other
+ simulators, GHDL is a compiler: it directly translates a VHDL file to
+ machine code, without using an intermediary language such as C or C++.
+ Therefore, the compiled code should be faster and the analysis time should
+ be shorter than with a compiler using an intermediary language. GHDL can
+ use multiple back-ends, i.e. code generators, (GCC, LLVM or x86/i386 only,
+ a built-in one named mcode) and runs on GNU/Linux, Windows and macOS; on
+ x86, x86_64, armv6/armv7/aarch32/aarch64, ppc64, etc. GHDL aims at
+ implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and
+ 2002 revisions and, partially, 2008 and 2019. Property Specification
+ Language (PSL) is also partially supported.
+ </longdescription>
+ <use>
+ <flag name="llvm">Build LLVM code generator</flag>
+ </use>
+ <upstream>
+ <bugs-to>https://github.com/ghdl/ghdl/issues/</bugs-to>
+ <remote-id type="github">ghdl/ghdl</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/gnucap/Manifest b/sci-electronics/gnucap/Manifest
index db20d354963e..d958a168c245 100644
--- a/sci-electronics/gnucap/Manifest
+++ b/sci-electronics/gnucap/Manifest
@@ -1,5 +1 @@
-DIST gnucap-2009-12-07-models-bsim.tar.gz 4074699 BLAKE2B e8d48be7eb22bfe360a4cd80e3605b292be7839100e1ebd40a718bc23c5f9d2330fb3a58c9c18bebaf8b87a6fad3002f5596a4f83e41eefa0eab8f4ed5d9b18e SHA512 4f7caaeab0268df113da726f4fbd5a0f3eff63c325a7c08f0cd10ec727318aff6a51ce81619f0f3e31b87f89a51643cd608cb14ba09a70fb7294df9748ed1db4
-DIST gnucap-2009-12-07-models-jspice3-2.5.tar.gz 502564 BLAKE2B a0c60671cb338035035a50cdc6f2d7e9df9aaf7f2ee3c0bd600ec4d0a8aafd2628c6acf37c89f9f7c7ec3879220dc81ceec191ad9cc0a31def76d6df5bba1606 SHA512 90d93912d5d000218f43e7b63efcd43473467d7d51c1cee160f3fbe53b0bafd5bdeaf5680e2ca67d3176063ba026352248412d1e266d3f6ed93e731e476d61fe
-DIST gnucap-2009-12-07-models-ngspice17.tar.gz 4418060 BLAKE2B b7d300cc93b870b13eab25d4b0303af647303551f2777e61cc38d18d770e9b446b9a1e4f8fd94b81c11f96eb1c6bac4ef113f69806a979ac3fe69903e0855d91 SHA512 0f26b4491531d41f18d35b8cde339e99b593794495346186dac74f72bd3632dfe4690ede50a972c47ae41b2229a62d708ecd28bb8fc04b5b7347a481bca709d5
-DIST gnucap-2009-12-07-models-spice3f5.tar.gz 629424 BLAKE2B 3369374942a0b0b14dc484a6d49b9650d461112f15623f43b1140a4bddd4d23a6035c4c31294f1003828b93bc21b1c1c059acf61c2db85c9578bb8162e247234 SHA512 4c16e4bcdd3b4092bd6c89c56421ef9ca85b6aba90d9e244fee03278916c6b5ac1c56ecba62d4a5561ff3d93abc61492c0116ccc350c483128ca792b52fa7155
-DIST gnucap-2009-12-07.tar.gz 545578 BLAKE2B a1ff8ed82a8ab57c455fdb96c399a5e480b1eb898d439e39380c4287d65538e9c0e1f61aef08c616aff34390a507243edc1fbb2f55b2bfc6d383264e2281e1c9 SHA512 c0e8a4f6b66eeff2cf95e9cf2050a25aa08f660de1e4b3e78011998deb93cd4e5b562cdcf5f3beb72e04cb3c7650cde6ae8c81602ffc31f5e333d84e7e3867a9
+DIST gnucap-20210107.tar.gz 801944 BLAKE2B fcef1e234710575285446c63cae85e2ef7e82e74ab65627614b8cc2bd0511427b63aa352a335c2b56c159b9129ce4cac998b86ddd29c19f9c57a63cfc2354676 SHA512 7f85a69f73808091b0826bb671174ad2515771c36e624031fb2fe79671366c57cd20ce9c973359869146a90fc568bc0ae1c354655e9d5cd75507b069f45cbfb7
diff --git a/sci-electronics/gnucap/files/gnucap-20210107-fix-paths.patch b/sci-electronics/gnucap/files/gnucap-20210107-fix-paths.patch
new file mode 100644
index 000000000000..455475fa7cde
--- /dev/null
+++ b/sci-electronics/gnucap/files/gnucap-20210107-fix-paths.patch
@@ -0,0 +1,68 @@
+diff --git a/apps/Make1 b/apps/Make1
+index 43a2266..a29b29f 100644
+--- a/apps/Make1
++++ b/apps/Make1
+@@ -23,7 +23,7 @@ TARGET = gnucap-default-plugins
+ #------------------------------------------------------------------------
+ INSTALL_FILES = $(TARGET)$(TARGET_EXT)
+ #------------------------------------------------------------------------
+-INSTALL_DIR = $(PREFIX)/lib/gnucap
++INSTALL_DIR = $(PREFIX)/lib64/gnucap
+ #------------------------------------------------------------------------
+ DELETED =
+ #------------------------------------------------------------------------
+diff --git a/lib/Make1 b/lib/Make1
+index 27f839f..bd9fbfb 100644
+--- a/lib/Make1
++++ b/lib/Make1
+@@ -23,7 +23,7 @@ TARGET = libgnucap
+ #------------------------------------------------------------------------
+ INSTALL_FILES = $(TARGET)$(TARGET_EXT)
+ #------------------------------------------------------------------------
+-INSTALL_DIR = $(PREFIX)/lib
++INSTALL_DIR = $(PREFIX)/lib64
+ #------------------------------------------------------------------------
+ DELETED =
+ #------------------------------------------------------------------------
+diff --git a/main/Make1 b/main/Make1
+index 14d44c7..2d92c1f 100644
+--- a/main/Make1
++++ b/main/Make1
+@@ -24,7 +24,7 @@ TARGET = gnucap
+ INSTALL_DIR = $(PREFIX)/bin
+ INSTALL_FILES = $(TARGET)$(TARGET_EXT) ../gnucap-conf
+ #------------------------------------------------------------------------
+-INSTALL_SYSCONF_DIR = $(PREFIX)/etc
++INSTALL_SYSCONF_DIR = $(PREFIX)/../etc
+ INSTALL_SYSCONF_FILES = ../gnucap.conf
+ #------------------------------------------------------------------------
+ DELETED =
+diff --git a/main/configure b/main/configure
+index b68d06a..47e43a2 100755
+--- a/main/configure
++++ b/main/configure
+@@ -23,8 +23,8 @@ fi
+
+ sed -e "s#/usr/local#$prefix#" ../Makefile.template > Makefile
+ #----------------------------------------------------------------
+-pkglibdir='${prefix}/lib/gnucap'
+-pkglibdir_expanded="${prefix}/lib/gnucap"
++pkglibdir='${prefix}/lib64/gnucap'
++pkglibdir_expanded="${prefix}/lib64/gnucap"
+
+ # bug. this is not implemented consistently.
+ CXX=${CXX-c++}
+@@ -32,11 +32,11 @@ CXX=${CXX-c++}
+ fill_template() {
+ sed -e "s#@prefix@#$prefix#" \
+ -e "s#@exec_prefix@#$prefix#" \
+- -e "s#@libdir@#$prefix/lib#" \
++ -e "s#@libdir@#$prefix/lib64#" \
+ -e "s#@includedir@#$\{prefix\}/include#" \
+ -e "s#@datarootdir@#$\{prefix\}/share/gnucap#" \
+ -e "s#@docdir@#$\{prefix\}/share/doc/gnucap#" \
+- -e "s#@sysconfdir@#$\{prefix\}/etc#" \
++ -e "s#@sysconfdir@#$\{prefix\}/../etc#" \
+ -e "s#@pkglibdir@#$pkglibdir#" \
+ -e "s#@pkglibdir_expanded@#$pkglibdir_expanded#" \
+ -e "s#@CXXFLAGS@#$CCFLAGS#" \
diff --git a/sci-electronics/gnucap/files/gnucap-20210107-respect-ldflags.patch b/sci-electronics/gnucap/files/gnucap-20210107-respect-ldflags.patch
new file mode 100644
index 000000000000..5456373cda29
--- /dev/null
+++ b/sci-electronics/gnucap/files/gnucap-20210107-respect-ldflags.patch
@@ -0,0 +1,208 @@
+diff --git a/apps/Make2.Debug b/apps/Make2.Debug
+index b35ac81..f437b76 100644
+--- a/apps/Make2.Debug
++++ b/apps/Make2.Debug
+@@ -41,7 +41,7 @@ CCFLAGS = \
+
+ LIBS = \
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ %.SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/apps/Make2.g++ b/apps/Make2.g++
+index c7581c9..443c0e8 100644
+--- a/apps/Make2.g++
++++ b/apps/Make2.g++
+@@ -32,7 +32,7 @@ CCFLAGS = \
+
+ LIBS = \
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/apps/Make2.mingw32 b/apps/Make2.mingw32
+index 8a9345e..df5f7f6 100644
+--- a/apps/Make2.mingw32
++++ b/apps/Make2.mingw32
+@@ -30,7 +30,7 @@ CCFLAGS = \
+
+ LIBS = -lgnucap
+
+-LDFLAGS = -shared -L../../lib/MSW
++LDFLAGS += -shared -L../../lib/MSW
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/apps/configure b/apps/configure
+index 1fbb987..6b684af 100755
+--- a/apps/configure
++++ b/apps/configure
+@@ -38,7 +38,7 @@ VPATH = .:..
+ CCC = c++
+ TARGET_EXT = .so
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/lib/Make2.Debug b/lib/Make2.Debug
+index b0e180a..7cfe919 100644
+--- a/lib/Make2.Debug
++++ b/lib/Make2.Debug
+@@ -43,7 +43,7 @@ LIBS = \
+ -lreadline -ltermcap \
+ -ldl
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ %.SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/lib/Make2.g++ b/lib/Make2.g++
+index 7dd1598..a240c53 100644
+--- a/lib/Make2.g++
++++ b/lib/Make2.g++
+@@ -35,7 +35,7 @@ LIBS = \
+ -lreadline -ltermcap \
+ -ldl
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/lib/Make2.mingw32 b/lib/Make2.mingw32
+index 27a2c51..bf7c663 100644
+--- a/lib/Make2.mingw32
++++ b/lib/Make2.mingw32
+@@ -31,7 +31,7 @@ CCFLAGS = \
+
+ LIBS =
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/lib/configure b/lib/configure
+index a10a76e..4a07543 100755
+--- a/lib/configure
++++ b/lib/configure
+@@ -49,7 +49,7 @@ VPATH = .:..
+ CCC = c++
+ TARGET_EXT = .so
+
+-LDFLAGS = -shared
++LDFLAGS += -shared
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/main/Make2.Debug b/main/Make2.Debug
+index e531639..31f7fa8 100644
+--- a/main/Make2.Debug
++++ b/main/Make2.Debug
+@@ -39,7 +39,7 @@ CCFLAGS = \
+ LIBS = \
+ -lgnucap \
+
+-LDFLAGS = -rdynamic \
++LDFLAGS += -rdynamic \
+ -L../../lib/O-DEBUG
+
+ %.SUFFIXES:
+diff --git a/main/Make2.g++ b/main/Make2.g++
+index 8991962..8add8f6 100644
+--- a/main/Make2.g++
++++ b/main/Make2.g++
+@@ -31,7 +31,7 @@ CCFLAGS = \
+ LIBS = \
+ -lgnucap \
+
+-LDFLAGS = -rdynamic \
++LDFLAGS += -rdynamic \
+ -L../../lib/O
+
+ .SUFFIXES:
+diff --git a/main/Make2.mingw32 b/main/Make2.mingw32
+index b6150e6..0175914 100644
+--- a/main/Make2.mingw32
++++ b/main/Make2.mingw32
+@@ -30,7 +30,7 @@ CCFLAGS = \
+
+ LIBS = -lgnucap
+
+-LDFLAGS = -L../../lib/MSW
++LDFLAGS += -L../../lib/MSW
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/main/configure b/main/configure
+index 9c43821..b68d06a 100755
+--- a/main/configure
++++ b/main/configure
+@@ -65,7 +65,7 @@ VPATH = .:..
+ CCC = c++
+ TARGET_EXT =
+
+-LDFLAGS = -rdynamic \
++LDFLAGS += -rdynamic \
+ -L../../lib/O
+
+ .SUFFIXES : .o .cc
+diff --git a/modelgen/Make2.Debug b/modelgen/Make2.Debug
+index e531639..31f7fa8 100644
+--- a/modelgen/Make2.Debug
++++ b/modelgen/Make2.Debug
+@@ -39,7 +39,7 @@ CCFLAGS = \
+ LIBS = \
+ -lgnucap \
+
+-LDFLAGS = -rdynamic \
++LDFLAGS += -rdynamic \
+ -L../../lib/O-DEBUG
+
+ %.SUFFIXES:
+diff --git a/modelgen/Make2.g++ b/modelgen/Make2.g++
+index 8991962..8add8f6 100644
+--- a/modelgen/Make2.g++
++++ b/modelgen/Make2.g++
+@@ -31,7 +31,7 @@ CCFLAGS = \
+ LIBS = \
+ -lgnucap \
+
+-LDFLAGS = -rdynamic \
++LDFLAGS += -rdynamic \
+ -L../../lib/O
+
+ .SUFFIXES:
+diff --git a/modelgen/Make2.mingw32 b/modelgen/Make2.mingw32
+index 63b9657..813c5f4 100644
+--- a/modelgen/Make2.mingw32
++++ b/modelgen/Make2.mingw32
+@@ -30,7 +30,7 @@ CCFLAGS = \
+
+ LIBS = -lgnucap
+
+-LDFLAGS = -L../../lib/MSW
++LDFLAGS += -L../../lib/MSW
+
+ .SUFFIXES:
+ .SUFFIXES: .o .cc
+diff --git a/modelgen/configure b/modelgen/configure
+index d11d07e..3193444 100755
+--- a/modelgen/configure
++++ b/modelgen/configure
+@@ -39,7 +39,7 @@ VPATH = .:..
+ CCC = c++
+ TARGET_EXT =
+
+-LDFLAGS = -rdynamic \
++LDFLAGS += -rdynamic \
+ -L../../lib/O
+
+ .SUFFIXES : .o .cc
diff --git a/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild b/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild
deleted file mode 100644
index f8588c470fa0..000000000000
--- a/sci-electronics/gnucap/gnucap-0.35.20091207-r1.ebuild
+++ /dev/null
@@ -1,83 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit multilib toolchain-funcs flag-o-matic
-
-SNAPSHOTDATE="${P##*.}"
-MY_PV="${PN}-${SNAPSHOTDATE:0:4}-${SNAPSHOTDATE:4:2}-${SNAPSHOTDATE:6:2}"
-
-DESCRIPTION="GNUCap is the GNU Circuit Analysis Package"
-SRC_URI="http://www.gnucap.org/devel/${MY_PV}.tar.gz
- http://www.gnucap.org/devel/${MY_PV}-models-bsim.tar.gz
- http://www.gnucap.org/devel/${MY_PV}-models-jspice3-2.5.tar.gz
- http://www.gnucap.org/devel/${MY_PV}-models-ngspice17.tar.gz
- http://www.gnucap.org/devel/${MY_PV}-models-spice3f5.tar.gz"
-HOMEPAGE="http://www.gnucap.org/"
-
-IUSE="examples"
-SLOT="0"
-LICENSE="GPL-2"
-KEYWORDS="amd64 ~ppc x86"
-
-# NOTE: readline could be made optional, but I don't see a point for now.
-RDEPEND="sys-libs/readline:="
-DEPEND="${RDEPEND}"
-
-S="${WORKDIR}/${MY_PV}"
-
-src_prepare() {
- # No need to install COPYING and INSTALL
- sed -i \
- -e 's: COPYING INSTALL::' \
- -e 's:COPYING history INSTALL:history:' \
- doc/Makefile.in || die
-
- if ! use examples ; then
- sed -i \
- -e 's:examples modelgen:modelgen:' \
- Makefile.in || die
- fi
-
- sed -i -e 's:CFLAGS = -O2 -g:CPPFLAGS +=:' \
- -e '/CCFLAGS =/i\CFLAGS += $(CPPFLAGS)' \
- -e 's:CCFLAGS = $(CFLAGS):CXXFLAGS += $(CPPFLAGS):' \
- -e 's:LDFLAGS = :LDFLAGS += :' \
- -e 's:CCFLAGS:CXXFLAGS:' \
- -e "s:../Gnucap:${S}/src:" \
- models-*/Make2 || die
-
- sed -i -e "s:strchr(str2, '|'):const_cast<char*>(strchr(str2, '|')):" \
- {src,modelgen}/ap_match.cc || die
-
- tc-export CC CXX
- append-cxxflags -std=gnu++98
-
- default
-}
-
-src_compile() {
- emake
- for PLUGIN_DIR in models-* ; do
- cd "${S}/${PLUGIN_DIR}"
- emake CC=$(tc-getCC) CCC=$(tc-getCXX)
- done
-}
-
-src_install() {
- emake DESTDIR="${D}" install
- insopts -m0755
- for PLUGIN_DIR in models-* ; do
- insinto /usr/$(get_libdir)/gnucap/${PLUGIN_DIR}
- cd "${S}/${PLUGIN_DIR}"
- for PLUGIN in */*.so ; do
- newins ${PLUGIN} ${PLUGIN##*/}
- done
- done
-}
-
-pkg_postinst() {
- elog "Documentation for development releases is now available at :"
- elog " http://wiki.gnucap.org/dokuwiki/doku.php?id=gnucap:manual"
-}
diff --git a/sci-electronics/gnucap/gnucap-20210107.ebuild b/sci-electronics/gnucap/gnucap-20210107.ebuild
new file mode 100644
index 000000000000..9958fe58fcee
--- /dev/null
+++ b/sci-electronics/gnucap/gnucap-20210107.ebuild
@@ -0,0 +1,21 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="GNUCap is the GNU Circuit Analysis Package"
+SRC_URI="https://git.savannah.gnu.org/cgit/gnucap.git/snapshot/${P}.tar.gz"
+HOMEPAGE="http://www.gnucap.org/"
+
+IUSE="examples"
+SLOT="0"
+LICENSE="GPL-2"
+KEYWORDS="amd64 ~ppc ~x86"
+
+RDEPEND="sys-libs/readline:="
+DEPEND="${RDEPEND}"
+
+PATCHES=(
+ "${FILESDIR}/${P}-respect-ldflags.patch"
+ "${FILESDIR}/${P}-fix-paths.patch"
+)
diff --git a/sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch b/sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch
new file mode 100644
index 000000000000..bc813a0bed20
--- /dev/null
+++ b/sci-electronics/gsmc/files/gsmc-1.1-clang16-build-fix.patch
@@ -0,0 +1,41 @@
+Bug: https://bugs.gentoo.org/886137
+--- a/autotune.c
++++ b/autotune.c
+@@ -29,6 +29,8 @@
+ #include <math.h>
+ #include "main.h"
+ #include "autotune.h"
++#include "draw.h"
++#include "calc.h"
+ #include <unistd.h>
+
+
+--- a/main.h
++++ b/main.h
+@@ -112,4 +112,6 @@ gint mdw_expose_event(GtkWidget *, GdkEventExpose *, gpointer),
+
+ void writespice(char *);
+ void removene(void);
++void loadgw(char *);
++void savegw(char *);
+
+--- a/print.c
++++ b/print.c
+@@ -32,6 +32,7 @@
+ #include "main.h"
+ #include "calc.h"
+ #include "print.h"
++#include "draw.h"
+ // jvdh 2004-3-30
+
+ extern SMCDATA smcdata;
+--- a/widget.c
++++ b/widget.c
+@@ -36,6 +36,7 @@
+ #include "widget.h"
+ #include "draw.h"
+ #include "print.h"
++#include "autotune.h"
+
+ extern GtkTextBuffer *txtcircbuff;
+ extern int Zcirc, Ycirc, RHOcirc, Qcirc, tsidx, fsidx;
diff --git a/sci-electronics/gsmc/gsmc-1.1-r3.ebuild b/sci-electronics/gsmc/gsmc-1.1-r3.ebuild
new file mode 100644
index 000000000000..7abf1fbc2832
--- /dev/null
+++ b/sci-electronics/gsmc/gsmc-1.1-r3.ebuild
@@ -0,0 +1,48 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools flag-o-matic
+
+DESCRIPTION="A GTK program for doing Smith Chart calculations"
+HOMEPAGE="https://www.qsl.net/ik5nax/"
+SRC_URI="https://www.qsl.net/ik5nax/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+
+DEPEND="
+ dev-libs/glib:2
+ x11-libs/gtk+:2
+"
+RDEPEND="${DEPEND}"
+BDEPEND="virtual/pkgconfig"
+
+PATCHES=(
+ "${FILESDIR}"/${P}-autotools.patch
+ "${FILESDIR}"/${P}-clang16-build-fix.patch
+)
+
+src_prepare() {
+ default
+ eautoreconf
+}
+
+src_configure() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/862456
+ #
+ # Upstream software dates to 2004 with no sign of activity.
+ filter-lto
+
+ default
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc AUTHORS NEWS README TODO
+ insinto /usr/share/${PN}
+ doins example*
+}
diff --git a/sci-electronics/gspiceui/Manifest b/sci-electronics/gspiceui/Manifest
index f58ffcf502a6..8e067dce86db 100644
--- a/sci-electronics/gspiceui/Manifest
+++ b/sci-electronics/gspiceui/Manifest
@@ -1 +1,2 @@
DIST gspiceui-v1.1.00.tar.gz 1048236 BLAKE2B cc3d14137517cd26687dabac7e001e7518fee91aedc74f1995ac763873345f2965c3edb4e037ecb6ac8ca874289b2e658fe3185d95b3eeaf2b349efab151f37b SHA512 46ccf3dd7a5dd9ec1cac1eb742187ecab15a6c0033467d52a44c916e239696faf7b8797e1a155191cf0ed37b04619b0666cff51e78912a06ecd2c63d377cba93
+DIST gspiceui-v1.2.87.tar.gz 1089733 BLAKE2B c1801bfebd5d42fe9c1ab26e6fd0cb06b56cac53bbad272522896325e5fd979e6fcc63d6f99262b152180f249b5b2d4aa119aa83254a2fc07256574c9ebaef10 SHA512 081d04c39f4fb96139f12fa063dfb888e036948a00a6b55de3b04a49b2b4af01b45fd9b7f903855d798a71957452550dfa8c8505c167c239e27ada29cb6fa747
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.2.87-respect-users-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.2.87-respect-users-flags.patch
new file mode 100644
index 000000000000..c39b6a329aba
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-1.2.87-respect-users-flags.patch
@@ -0,0 +1,56 @@
+diff --git a/src/Makefile b/src/Makefile
+index 50736fd..8dbfcfa 100644
+--- a/src/Makefile
++++ b/src/Makefile
+@@ -39,8 +39,8 @@ DESTDIR = /usr/local/bin
+ #***************************************************************************************************
+
+ # Which compiler and linker (eg. g++ or clang++)
+-CXX = g++
+-LD = g++
++CXX ?= g++
++LD ?= g++
+ ifneq ($(GSPICEUI_MSWIN),0)
+ LD += -static-libstdc++ -static-libgcc
+ WINDRES = windres
+@@ -91,13 +91,7 @@ BINDIR = $(ROOT)/bin
+ # -Os Optimize for size
+ # -Og Optimize debugging experience but don't break debugging
+ # -std=[C++NO] The C++ standard to use where C++NO is eg. c++98, c++03, c++11, c++14, c++17, etc.
+-ifeq ($(GSPICEUI_DEBUG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS := -O3 -std=c++17 -pipe $(shell $(WXCFG) --cxxflags)
+-else
+- # Options for development
+- CXXFLAGS := -g -Og -std=c++17 -Wall -Wextra -Wpedantic -pipe $(shell $(WXCFG) --cxxflags)
+-endif
++CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # I like to compile using the option "-Wall" etc., tests that break wxWidgets are turned off below
+ ifeq ($(GSPICEUI_DEBUG),1)
+@@ -117,6 +111,7 @@ INCLUDES = -I.
+
+ # Libraries
+ LIBS := $(shell $(WXCFG) --libs core,base,html)
++LIBS += -lm -lstdc++
+ # (The pkg-config stuff was requested by a user, somehow pangox was missing) 2019-08-07 ???
+ #ifeq ($(GSPICEUI_MSWIN),0)
+ #LIBS := $(shell $(WXCFG) --libs core,base,html) # $(shell pkg-config --libs-only-l pangox)
+@@ -181,7 +176,7 @@ $(OBJDIR)/%.o : %.cpp
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(LD) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CXX) -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
+@@ -223,7 +218,7 @@ tests : test_Component test_NetList test_CnvtType test_CmdNgSpiceOPT test_CmdNgS
+
+ # Compiler options
+
+-test_% : CXXFLAGS = -Wall -g -pipe $(shell $(WXCFG) --cxxflags)
++test_% : CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+ ifeq ($(GSPICEUI_WXLIB),2.8) # This suppresses spurious warnings from gcc with wxWidgets v2.8.12
+ test_% : CXXFLAGS += -Wno-unused-local-typedefs
+ endif
diff --git a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
index 81c6e4c2d621..daaf5cffbd0f 100644
--- a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
+++ b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -15,7 +15,7 @@ S="${WORKDIR}/${MY_P}"
LICENSE="GPL-3"
SLOT="0"
-KEYWORDS="amd64 x86"
+KEYWORDS="amd64 ~x86"
IUSE="examples schematics waveform"
DEPEND="
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild b/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild
new file mode 100644
index 000000000000..35a1c7747a8f
--- /dev/null
+++ b/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild
@@ -0,0 +1,83 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+WX_GTK_VER="3.2-gtk3"
+inherit desktop optfeature wxwidgets xdg
+
+MY_P="${PN}-v${PV}"
+
+DESCRIPTION="GUI frontend for Ngspice and Gnucap"
+HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+S="${WORKDIR}/${MY_P}"
+
+LICENSE="GPL-3"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="examples"
+
+DEPEND="
+ x11-libs/wxGTK:${WX_GTK_VER}[X]
+ sci-electronics/electronics-menu
+"
+RDEPEND="
+ ${DEPEND}
+ || (
+ sci-electronics/ngspice
+ sci-electronics/gnucap
+ )
+"
+
+PATCHES=(
+ # Use Gentoo LDFLAGS and CXXFLAGS
+ "${FILESDIR}"/${P}-respect-users-flags.patch
+)
+
+src_prepare() {
+ default
+
+ # Adjusting the doc path at src/main/FrmHtmlVwr.cpp
+ sed -i -e \
+ "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
+ src/main/FrmHtmlVwr.cpp || die
+}
+
+src_configure() {
+ setup-wxwidgets
+ default
+}
+
+src_compile() {
+ # GSPICEUI_WXLIB=3.0 also works for 3.2
+ emake GSPICEUI_WXLIB=3.0
+}
+
+src_install() {
+ dobin bin/gspiceui
+
+ einstalldocs
+ dodoc html/*.html html/*.jpg html/*.png
+ dodoc ChangeLog ReadMe ToDo release-notes-v${PV}.txt
+ doman gspiceui.1
+
+ # installing examples and according model and symbol files
+ use examples && dodoc -r lib sch
+
+ newicon -s 32 src/icons/gspiceui-32x32.xpm gspiceui.xpm
+ newicon -s 48 src/icons/gspiceui-48x48.xpm gspiceui.xpm
+ make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
+}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+ if use examples ; then
+ elog "If you want to use the examples, copy and extract from"
+ elog "${EROOT}/usr/share/doc/${PF} the sch and lib directory"
+ elog "side by side to your home directory to be able"
+ elog "to generate the netlists as normal user."
+ fi
+ optfeature "schematics editing" sci-electronics/geda
+ optfeature "waveform display" sci-electronics/gwave
+}
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild b/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
new file mode 100644
index 000000000000..88313ee09ad6
--- /dev/null
+++ b/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
@@ -0,0 +1,82 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+WX_GTK_VER="3.0-gtk3"
+inherit desktop optfeature wxwidgets xdg
+
+MY_P="${PN}-v${PV}"
+
+DESCRIPTION="GUI frontend for Ngspice and Gnucap"
+HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+S="${WORKDIR}/${MY_P}"
+
+LICENSE="GPL-3"
+SLOT="0"
+KEYWORDS="amd64 ~x86"
+IUSE="examples"
+
+DEPEND="
+ x11-libs/wxGTK:${WX_GTK_VER}[X]
+ sci-electronics/electronics-menu
+"
+RDEPEND="
+ ${DEPEND}
+ || (
+ sci-electronics/ngspice
+ sci-electronics/gnucap
+ )
+"
+
+PATCHES=(
+ # Use Gentoo LDFLAGS and CXXFLAGS
+ "${FILESDIR}"/${P}-respect-users-flags.patch
+)
+
+src_prepare() {
+ default
+
+ # Adjusting the doc path at src/main/FrmHtmlVwr.cpp
+ sed -i -e \
+ "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
+ src/main/FrmHtmlVwr.cpp || die
+}
+
+src_configure() {
+ setup-wxwidgets
+ default
+}
+
+src_compile() {
+ emake
+}
+
+src_install() {
+ dobin bin/gspiceui
+
+ einstalldocs
+ dodoc html/*.html html/*.jpg html/*.png
+ dodoc ChangeLog ReadMe ToDo release-notes-v${PV}.txt
+ doman gspiceui.1
+
+ # installing examples and according model and symbol files
+ use examples && dodoc -r lib sch
+
+ newicon -s 32 src/icons/gspiceui-32x32.xpm gspiceui.xpm
+ newicon -s 48 src/icons/gspiceui-48x48.xpm gspiceui.xpm
+ make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
+}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+ if use examples ; then
+ elog "If you want to use the examples, copy and extract from"
+ elog "${EROOT}/usr/share/doc/${PF} the sch and lib directory"
+ elog "side by side to your home directory to be able"
+ elog "to generate the netlists as normal user."
+ fi
+ optfeature "schematics editing" sci-electronics/geda
+ optfeature "waveform display" sci-electronics/gwave
+}
diff --git a/sci-electronics/gtkwave/Manifest b/sci-electronics/gtkwave/Manifest
index 3f066ed6fcd4..35b040d142ab 100644
--- a/sci-electronics/gtkwave/Manifest
+++ b/sci-electronics/gtkwave/Manifest
@@ -1 +1,2 @@
DIST gtkwave-3.3.106.tar.gz 3507185 BLAKE2B 89389c6eef2fb80b82b048fc94248cf6b30ec0d8fea1260189f2ea1f143123e0294d00f93a0c25f777b6e2c188041af16f4e26e5206e0c65fe23c1f058b19186 SHA512 4deec8dc88210ae542b8066763265450530756d34babf10c7820fe27b23d01e6d2f084ec74b7b0730a645fcb667ba3c0d2dcfb4111e702a523805041dc96768a
+DIST gtkwave-gtk3-3.3.111.tar.gz 3329907 BLAKE2B 523c5b3cb75581d9828d6a1e61df66a0a85ccc41415c9971affaf347948bc7a3b7850cf8abe4f3e57dab56d2f54722a121a8d3b757993d008e1598e4f2ce8843 SHA512 407c1ca87d9645940201c876846e7e6f5e4af67b919a4f63061adc017b2797be5ba10bb9bf132f1b86dff111a64b774e41b8b1630fc99997b5270622eda44c0c
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
index 0b3043548834..62fd0865a965 100644
--- a/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
+++ b/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
LICENSE="GPL-2 MIT"
SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
+KEYWORDS="amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
IUSE="doc examples fasttree fatlines judy lzma packed tcl"
RDEPEND="
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
new file mode 100644
index 000000000000..931882a1de78
--- /dev/null
+++ b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
@@ -0,0 +1,65 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit toolchain-funcs xdg
+
+DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
+HOMEPAGE="http://gtkwave.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${PN}-gtk3-${PV}.tar.gz"
+
+LICENSE="GPL-2 MIT"
+SLOT="0"
+KEYWORDS="amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
+IUSE="doc examples fasttree judy lzma packed tcl"
+
+RDEPEND="
+ dev-libs/glib:2
+ sys-libs/zlib
+ x11-libs/pango
+ x11-libs/gtk+:3[wayland]
+ judy? ( dev-libs/judy )
+ tcl? ( dev-lang/tcl:0 dev-lang/tk:0 )
+ lzma? ( app-arch/xz-utils )"
+DEPEND="${RDEPEND}"
+BDEPEND="
+ dev-util/gperf
+ virtual/pkgconfig"
+
+# Add '-gtk3-' to the pacakge name
+S="${WORKDIR}/${PN}-gtk3-${PV}"
+
+src_prepare() {
+ default
+
+ # do not install doc and examples by default
+ sed -i -e 's/doc examples//' Makefile.in || die
+}
+
+src_configure() {
+ econf \
+ --disable-mime-update \
+ --enable-largefile \
+ --enable-gtk3 \
+ $(use_enable packed struct-pack) \
+ $(use_enable tcl) \
+ $(use_enable lzma xz) \
+ $(use_enable fasttree) \
+ $(use_enable judy)
+}
+
+src_compile() {
+ emake AR="$(tc-getAR)"
+}
+
+src_install() {
+ default
+
+ use doc && dodoc doc/${PN}.odt
+ if use examples; then
+ rm examples/Makefile* || die
+ dodoc -r examples
+ docompress -x /usr/share/doc/${PF}/examples
+ fi
+}
diff --git a/sci-electronics/gwave/gwave-20190116.ebuild b/sci-electronics/gwave/gwave-20190116.ebuild
index ff747645f0c4..e86b222f850b 100644
--- a/sci-electronics/gwave/gwave-20190116.ebuild
+++ b/sci-electronics/gwave/gwave-20190116.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2019 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -10,7 +10,7 @@ HOMEPAGE="http://gwave.sourceforge.net"
SRC_URI="mirror://sourceforge/${PN}/gwave3/${P}.tar.gz"
LICENSE="GPL-2"
-KEYWORDS="amd64 x86"
+KEYWORDS="amd64 ~x86"
IUSE="gnuplot plotutils"
SLOT="0"
diff --git a/sci-electronics/iverilog/Manifest b/sci-electronics/iverilog/Manifest
index 7fe42d17f047..4b6c9faac096 100644
--- a/sci-electronics/iverilog/Manifest
+++ b/sci-electronics/iverilog/Manifest
@@ -1,2 +1,3 @@
DIST iverilog-10.3.tar.gz 1600835 BLAKE2B 107c57c61fb27c18f4020f7853bf6ca83d1a86fdc73c57ea207828baf6b7a26d42e43ce7b33580f050a4c0b8f63bca6accecf678323a3bbbee1eb9c1d8fa2caa SHA512 67076e19a208576c21a0462ff7d15d00a9d47740c47518a5523bd928b3118360d85eb84c317963717d15e5246ece3727259f6ff3baf59e195340530cc9086a1d
DIST iverilog-11.0.tar.gz 1682457 BLAKE2B ba90574e1453a095143e76e5dc79bb2357a721fc62c24f0fb23d220458819cb3b8ea8494410ce956e68831b682b61f27f64e94e9c166a69e5f6d5d30a8269a11 SHA512 5d6a65e1c3b6b62e99af708cd5a259cc69c6b789dd6eff69e225903d9b8c115d9e5d6f7635b30c6558197cd0ee6fd8196a163fbd98956e24c211d97328b85056
+DIST iverilog-12.0.tar.gz 2995096 BLAKE2B 7e1d4d8302168da3d38aac113daaaa62b80e59d7485be142443cd57ef346e788d9b58564af491a0ad58f64c06e475696238205f3e673f10da3c2cd417020fbed SHA512 a8e112f760903335ef2fbf00529e5fe282b83c1f583c4316373b9410d7fad2a53d5c4adb82dd62491c14a620cb5b1fab117cb0cde638c86b65fe5b7b0dd07152
diff --git a/sci-electronics/iverilog/files/iverilog-10.3-call-nm.patch b/sci-electronics/iverilog/files/iverilog-10.3-call-nm.patch
new file mode 100644
index 000000000000..2261a6ada4cf
--- /dev/null
+++ b/sci-electronics/iverilog/files/iverilog-10.3-call-nm.patch
@@ -0,0 +1,67 @@
+From f3396d502d408c1b349c378060027905a2c2a9c3 Mon Sep 17 00:00:00 2001
+From: Huang Rui <vowstar@gmail.com>
+Date: Sat, 25 Jul 2020 12:50:49 +0800
+Subject: [PATCH 1/2] aclocal.m4: fix issue in cross-compiling
+
+The aclocal.m4 called nm directly.
+It can cause issue in cross-compiling and because is not possible
+use a different NM implementation (like llvm-nm).
+The compile error log:
+https://bugs.gentoo.org/attachment.cgi?id=648556
+
+Closes: https://bugs.gentoo.org/731906
+Signed-off-by: Huang Rui <vowstar@gmail.com>
+---
+ aclocal.m4 | 4 +++-
+ 1 file changed, 3 insertions(+), 1 deletion(-)
+
+diff --git a/aclocal.m4 b/aclocal.m4
+index a47eba42c..16ef69c85 100644
+--- a/aclocal.m4
++++ b/aclocal.m4
+@@ -25,9 +25,11 @@ AC_SUBST(install_suffix)
+ # Unwarranted assumptions:
+ # - the object file produced by AC_COMPILE_IFELSE is called "conftest.$ac_objext"
+ # - the nm(1) utility is available, and its name is "nm".
++# Here use $NM because they can cause issue in cross-compiling and because is
++# not possible use a different NM implementation (like llvm-nm)
+ AC_DEFUN([_AX_C_UNDERSCORES_MATCH_IF],
+ [AC_COMPILE_IFELSE([AC_LANG_SOURCE([void underscore(void){}])],
+-[AS_IF([nm conftest.$ac_objext|grep $1 >/dev/null 2>/dev/null],[$2],[$3])],
++[AS_IF([$NM conftest.$ac_objext|grep $1 >/dev/null 2>/dev/null],[$2],[$3])],
+ [AC_MSG_ERROR([underscore test crashed])]
+ )])
+
+
+From d827f674cc0a37d8150c18df6112a0a895f2a584 Mon Sep 17 00:00:00 2001
+From: Huang Rui <vowstar@gmail.com>
+Date: Tue, 28 Jul 2020 21:15:49 +0800
+Subject: [PATCH 2/2] aclocal.m4: fix the comment contradicts the previous line
+
+Changed to "the nm(1) utility or an equivalent is available,
+and its name is defined by the $NM variable.
+
+Signed-off-by: Huang Rui <vowstar@gmail.com>
+---
+ aclocal.m4 | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+diff --git a/aclocal.m4 b/aclocal.m4
+index 16ef69c85..1b2dd6a1e 100644
+--- a/aclocal.m4
++++ b/aclocal.m4
+@@ -23,10 +23,10 @@ AC_SUBST(install_suffix)
+ # ------------------------------
+ # Sub-macro for AX_C_UNDERSCORES_LEADING and AX_C_UNDERSCORES_TRAILING.
+ # Unwarranted assumptions:
+-# - the object file produced by AC_COMPILE_IFELSE is called "conftest.$ac_objext"
+-# - the nm(1) utility is available, and its name is "nm".
+-# Here use $NM because they can cause issue in cross-compiling and because is
+-# not possible use a different NM implementation (like llvm-nm)
++# - the object file produced by AC_COMPILE_IFELSE is called
++# "conftest.$ac_objext"
++# - the nm(1) utility or an equivalent is available, and its name
++# is defined by the $NM variable.
+ AC_DEFUN([_AX_C_UNDERSCORES_MATCH_IF],
+ [AC_COMPILE_IFELSE([AC_LANG_SOURCE([void underscore(void){}])],
+ [AS_IF([$NM conftest.$ac_objext|grep $1 >/dev/null 2>/dev/null],[$2],[$3])],
diff --git a/sci-electronics/iverilog/files/iverilog-10.3-configure-ac.patch b/sci-electronics/iverilog/files/iverilog-10.3-configure-ac.patch
new file mode 100644
index 000000000000..b7a81d1facaa
--- /dev/null
+++ b/sci-electronics/iverilog/files/iverilog-10.3-configure-ac.patch
@@ -0,0 +1,12 @@
+https://bugs.gentoo.org/426262
+--- a/Makefile.in 2022-04-25 18:06:16.529673077 +0800
++++ b/Makefile.in 2022-04-25 18:13:12.134356482 +0800
+@@ -200,7 +200,7 @@
+ ./config.status _pli_types.h
+ _pli_types.h: stamp-_pli_types-h
+
+-$(srcdir)/configure: $(srcdir)/configure.in $(srcdir)/aclocal.m4
++$(srcdir)/configure: $(srcdir)/configure.ac $(srcdir)/aclocal.m4
+ cd $(srcdir) && autoconf
+
+ config.status: $(srcdir)/configure \ No newline at end of file
diff --git a/sci-electronics/iverilog/files/iverilog-10.3-gen-bison-header.patch b/sci-electronics/iverilog/files/iverilog-10.3-gen-bison-header.patch
new file mode 100644
index 000000000000..0027184c8338
--- /dev/null
+++ b/sci-electronics/iverilog/files/iverilog-10.3-gen-bison-header.patch
@@ -0,0 +1,97 @@
+From 5b699c1be73e789831db01e779a41478c0c62309 Mon Sep 17 00:00:00 2001
+From: Henner Zeller <h.zeller@acm.org>
+Date: Wed, 29 Jul 2020 15:29:08 -0700
+Subject: [PATCH] Bison includes its generated header in *.cc. Generate with
+ correct name.
+
+The current bison (3.7) generates a *.cc file that includes the header
+it generated. For parse.cc this would be parse.hh. Right now, we rename
+this header to have a common name used in other files, but this results
+in a compile error for the parse.cc file:
+
+parse.cc:462:10: fatal error: parse.hh: No such file or directory
+ 462 | #include "parse.hh"
+ | ^~~~~~~~~~
+
+Fix this by telling bison to output the header file to the correct
+filename in the first place so that we don't have to rename it.
+(using the --defines instead of -d option).
+
+This looks like a bison specific option not available in Posix yacc;
+but looks like we're requiring bison anyway.
+
+Signed-off-by: Henner Zeller <h.zeller@acm.org>
+---
+ Makefile.in | 4 +---
+ tgt-pcb/Makefile.in | 4 +---
+ vhdlpp/Makefile.in | 4 +---
+ vvp/Makefile.in | 4 +---
+ 4 files changed, 4 insertions(+), 12 deletions(-)
+
+diff --git a/Makefile.in b/Makefile.in
+index 5ac5515a..cc8cac46 100644
+--- a/Makefile.in
++++ b/Makefile.in
+@@ -256,10 +256,8 @@ parse.o: parse.cc
+
+ # Build this in two steps to avoid parallel build issues (see pr3462585)
+ parse.cc: $(srcdir)/parse.y
+- $(YACC) --verbose -t -p VL -d -o $@ $<
++ $(YACC) --verbose -t -p VL --defines=parse.h -o $@ $<
+ parse.h: parse.cc
+- mv parse.cc.h $@ 2>/dev/null || mv parse.hh $@
+- touch $@
+
+ syn-rules.cc: $(srcdir)/syn-rules.y
+ $(YACC) --verbose -t -p syn_ -o $@ $<
+diff --git a/tgt-pcb/Makefile.in b/tgt-pcb/Makefile.in
+index a5f9b7d5..7345e195 100644
+--- a/tgt-pcb/Makefile.in
++++ b/tgt-pcb/Makefile.in
+@@ -89,10 +89,8 @@ fp_lex.cc: $(srcdir)/fp.lex
+ $(LEX) -s -ofp_lex.cc $(srcdir)/fp.lex
+
+ fp.cc: $(srcdir)/fp.y
+- $(YACC) --verbose -t -p fp -d -o $@ $<
++ $(YACC) --verbose -t -p fp --defines=fp.h -o $@ $<
+ fp.h: fp.cc
+- mv fp.cc.h $@ 2>/dev/null || mv fp.hh $@
+- touch $@
+
+ ifeq (@WIN32@,yes)
+ TGTLDFLAGS=-L.. -livl
+diff --git a/vhdlpp/Makefile.in b/vhdlpp/Makefile.in
+index 53ae140a..458178bd 100644
+--- a/vhdlpp/Makefile.in
++++ b/vhdlpp/Makefile.in
+@@ -117,10 +117,8 @@ lexor.cc: $(srcdir)/lexor.lex
+
+ # Build this in two steps to avoid parallel build issues (see pr3462585)
+ parse.cc: $(srcdir)/parse.y
+- $(YACC) --verbose -t -d -o $@ $<
++ $(YACC) --verbose -t --defines=parse.h -o $@ $<
+ parse.h: parse.cc
+- mv parse.cc.h $@ 2>/dev/null || mv parse.hh $@
+- touch $@
+
+ lexor_keyword.o: lexor_keyword.cc parse.h
+
+diff --git a/vvp/Makefile.in b/vvp/Makefile.in
+index 8ccdb1d2..cdd940f5 100644
+--- a/vvp/Makefile.in
++++ b/vvp/Makefile.in
+@@ -142,10 +142,8 @@ tables.o: tables.cc
+
+ # Build this in two steps to avoid parallel build issues (see pr3462585)
+ parse.cc: $(srcdir)/parse.y
+- $(YACC) --verbose -t -d -o $@ $<
++ $(YACC) --verbose -t --defines=parse.h -o $@ $<
+ parse.h: parse.cc
+- mv parse.cc.h $@ 2>/dev/null || mv parse.hh $@
+- touch $@
+
+ lexor.cc: $(srcdir)/lexor.lex
+ $(LEX) -s -olexor.cc $(srcdir)/lexor.lex
+--
+2.26.2
+
diff --git a/sci-electronics/iverilog/files/iverilog-10.3-override-var.patch b/sci-electronics/iverilog/files/iverilog-10.3-override-var.patch
new file mode 100644
index 000000000000..93b9a616987d
--- /dev/null
+++ b/sci-electronics/iverilog/files/iverilog-10.3-override-var.patch
@@ -0,0 +1,12 @@
+https://bugs.gentoo.org/730096
+--- a/vpi/Makefile.in 2022-04-25 18:39:03.748939186 +0800
++++ b/vpi/Makefile.in 2022-04-25 18:38:43.754679053 +0800
+@@ -71,7 +71,7 @@
+ M = sys_clog2.o v2005_math.o
+
+ # Object files for va_math.vpi
+-V = va_math.o
++override V = va_math.o
+
+ V2009 = v2009_table.o v2009_array.o v2009_enum.o v2009_string.o
+
diff --git a/sci-electronics/iverilog/iverilog-10.3.ebuild b/sci-electronics/iverilog/iverilog-10.3.ebuild
index 1a2a537efa44..f79b88bc74f0 100644
--- a/sci-electronics/iverilog/iverilog-10.3.ebuild
+++ b/sci-electronics/iverilog/iverilog-10.3.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit autotools
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -26,23 +26,25 @@ LICENSE="LGPL-2.1"
SLOT="0"
IUSE="examples"
-# If you are building from git, you will also need gperf to generate
-# the configure scripts.
-RDEPEND="
- sys-libs/readline:0
+# 721022, should depend on sys-libs/readline:=
+DEPEND="
+ sys-libs/readline:=
sys-libs/zlib
"
-
-DEPEND="
+RDEPEND="${DEPEND}"
+BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
- ${RDEPEND}
+ app-alternatives/yacc
+ app-alternatives/lex
"
PATCHES=(
"${FILESDIR}"/${PN}-10.3-file-missing.patch #705412
"${FILESDIR}"/${PN}-10.3-fno-common.patch #706366
+ "${FILESDIR}"/${PN}-10.3-gen-bison-header.patch #734760
+ "${FILESDIR}"/${PN}-10.3-call-nm.patch #731906
+ "${FILESDIR}"/${PN}-10.3-configure-ac.patch #426262
+ "${FILESDIR}"/${PN}-10.3-override-var.patch #730096
)
src_prepare() {
@@ -52,6 +54,9 @@ src_prepare() {
# Here translate the autoconf.sh, equivalent to the following code
# > sh autoconf.sh
+ # Move configure.in to configure.ac (bug #426262)
+ mv configure.in configure.ac || die
+
# Autoconf in root ...
eautoconf --force
# Precompiling lexor_keyword.gperf
diff --git a/sci-electronics/iverilog/iverilog-11.0.ebuild b/sci-electronics/iverilog/iverilog-11.0.ebuild
index c8842e156a9d..1599da817835 100644
--- a/sci-electronics/iverilog/iverilog-11.0.ebuild
+++ b/sci-electronics/iverilog/iverilog-11.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -32,8 +32,8 @@ DEPEND="
RDEPEND="${DEPEND}"
BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
+ app-alternatives/yacc
+ app-alternatives/lex
"
PATCHES=(
diff --git a/sci-electronics/iverilog/iverilog-12.0.ebuild b/sci-electronics/iverilog/iverilog-12.0.ebuild
new file mode 100644
index 000000000000..d212b9a78fc9
--- /dev/null
+++ b/sci-electronics/iverilog/iverilog-12.0.ebuild
@@ -0,0 +1,65 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools
+
+GITHUB_PV=$(ver_rs 1- '_')
+
+DESCRIPTION="A Verilog simulation and synthesis tool"
+HOMEPAGE="
+ http://iverilog.icarus.com
+ https://github.com/steveicarus/iverilog
+"
+
+if [[ ${PV} == "9999" ]] ; then
+ inherit git-r3
+ EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
+else
+ SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
+ KEYWORDS="amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
+ S="${WORKDIR}/${PN}-${GITHUB_PV}"
+fi
+
+LICENSE="LGPL-2.1"
+SLOT="0"
+
+DEPEND="
+ sys-libs/readline:=
+ sys-libs/zlib
+"
+RDEPEND="${DEPEND}"
+BDEPEND="
+ dev-util/gperf
+ app-alternatives/yacc
+ app-alternatives/lex
+"
+
+src_prepare() {
+ default
+
+ # From upstreams autoconf.sh, to make it utilize the autotools eclass
+ # Here translate the autoconf.sh, equivalent to the following code
+ # > sh autoconf.sh
+
+ # Autoconf in root ...
+ eautoconf
+
+ # Precompiling lexor_keyword.gperf
+ gperf -o -i 7 -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \
+ > lexor_keyword.cc || die
+ # Precompiling vhdlpp/lexor_keyword.gperf
+ cd vhdlpp || die
+ gperf -o -i 7 --ignore-case -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \
+ > lexor_keyword.cc || die
+}
+
+src_install() {
+ local DOCS=( *.txt )
+
+ default
+
+ dodoc -r examples
+ docompress -x /usr/share/doc/${PF}/examples
+}
diff --git a/sci-electronics/iverilog/iverilog-9999.ebuild b/sci-electronics/iverilog/iverilog-9999.ebuild
index de6fe18b47c9..ab2ce78d8adc 100644
--- a/sci-electronics/iverilog/iverilog-9999.ebuild
+++ b/sci-electronics/iverilog/iverilog-9999.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit autotools
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
+ KEYWORDS="~amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -32,8 +32,8 @@ DEPEND="
RDEPEND="${DEPEND}"
BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
+ app-alternatives/yacc
+ app-alternatives/lex
"
src_prepare() {
@@ -47,10 +47,12 @@ src_prepare() {
eautoconf
# Precompiling lexor_keyword.gperf
- gperf -o -i 7 -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || die
+ gperf -o -i 7 -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \
+ > lexor_keyword.cc || die
# Precompiling vhdlpp/lexor_keyword.gperf
cd vhdlpp || die
- gperf -o -i 7 --ignore-case -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf > lexor_keyword.cc || die
+ gperf -o -i 7 --ignore-case -C -k 1-4,6,9,\$ -H keyword_hash -N check_identifier -t ./lexor_keyword.gperf \
+ > lexor_keyword.cc || die
}
src_install() {
diff --git a/sci-electronics/kicad-footprints/Manifest b/sci-electronics/kicad-footprints/Manifest
index fc5a750ef53d..793e9119890f 100644
--- a/sci-electronics/kicad-footprints/Manifest
+++ b/sci-electronics/kicad-footprints/Manifest
@@ -1,2 +1,5 @@
-DIST kicad-footprints-5.1.11.tar.bz2 3382305 BLAKE2B 93f94a5e80e06dc9c399437eb3c8b22b8bf5d5e085298ddd641ff5e9892c717fab7b41d6831a78e8996b1376547dda06e095fa157189c7eff2e3795a940a2d21 SHA512 bffa832cfc38a6ce076ec4c52ad9e119e990dbdb6013350b1a6c29ed37f2cff08892acaf004ffb4a772cbaff400636986e8e735c3ee00854b99e6bafa75a6564
-DIST kicad-footprints-6.0.0_rc1.tar.gz 6147594 BLAKE2B de3d44a7fb900c64e08aa8933eb3695aa15b27caf80f7fae93f3aa8194d8301e3e5ac549287a78e0168fa3130a8e84dfbcef5789ca99c2351be6b8696eb5887e SHA512 4625b2c2cff5102accaae1883d30c9a6304a5755804dca9d3a99231901a80813e51a55fba42332355df8896dc3ea843618108f25598a08d82ae11dc42b783782
+DIST kicad-footprints-7.0.10.tar.bz2 24478270 BLAKE2B dd12467ba7bad3f0ab44164ea4ef6502f44143ec66173d5dadba62ab60559c0e75c4f77d00436ba2f7e1f0b527ae94cd0954fdd568031d049fbb69de56f13c0c SHA512 23fe7018f0f7328dc3d55e9f206d7ee4f4734be768d7024291b9d22c0134f1b887be5baf74fd601454e89a9a282aa9c0ad0ff631f5d29ec2ccac1c30b4dc1b66
+DIST kicad-footprints-7.0.11.tar.bz2 22722611 BLAKE2B f081eee0d8d2f9c691d7326dbc0a2edd329e027ae6602927f87e2e3c645fed1ba008e634a9043710ce552a94b01c28ac88461b83b97b26c225ad901af8535f21 SHA512 0786c08674432d797c7f98a05917ba22cfff4353e7b0e9007d61078cf6f5fc8ddf832e1a1e4d74788c9bf51fe9280ceae2c6051fce2253b5ba31b0a0f74d4511
+DIST kicad-footprints-7.0.7.tar.bz2 24411508 BLAKE2B 1abedfbc3dfab302b5f929b01f2a83e83a4fee6b1d5056bf2c3579068c56807c656dea918f4c37fe030b727d3effbc35063f4f4103c4cfa6071f95c22d507597 SHA512 8af1fb371034bd5b22e0a8a896fa61e4eb0bda5b282759e17872bf4b466f49b39aa56ae068ac3d7d5a7453d9a7d511a81317d3f267a90d2764b2b8282f64aef0
+DIST kicad-footprints-8.0.0.tar.bz2 26563192 BLAKE2B a575c3f7dc00ab14e6b7b9a52b56dfaa08c87aa4d53528fd59bfba3c5adf78e8ae98377cc3087db3dc6ae677046388f011062930ae07c427533b7254b99cbddd SHA512 6ad9863f3469523d48f5daf9b999177b0d6f43c347a390f3f55fcb8700f016c84c3513b1ee3e6f95112b1cadc3f7ee1c6c6f74b44d6b27aaa19f860d79e7e7de
+DIST kicad-footprints-8.0.1.tar.bz2 26591094 BLAKE2B 2df726033e818cfc1abd4fc71c15d3ab63c70d02708f2affe2ec1acc0b7445ec8dca4b4efabff06345df05ba11d6ddc7eb4f60c37c85fb641631f08890958b0a SHA512 940ce1208f6c1b50bdaf28027f66a9aa690855631b5b2bf445c1b277c75ecd46f68c91ef6fc221d69f54d00e42c0a7a56c27daafb83dfb5cc4d486cfa29216bd
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-5.1.11.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-5.1.11.ebuild
deleted file mode 100644
index 01e320ed9a00..000000000000
--- a/sci-electronics/kicad-footprints/kicad-footprints-5.1.11.ebuild
+++ /dev/null
@@ -1,16 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
-HOMEPAGE="https://kicad.github.io/footprints/"
-SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-KEYWORDS="~amd64 ~arm64 ~x86"
-
-RDEPEND=">=sci-electronics/kicad-5.1.0"
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.10.ebuild
index e640cdac16e1..79680e3c930d 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-6.0.0_rc1.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.10.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit cmake
@@ -12,23 +12,15 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN}-${MY_PV}"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
-RDEPEND=">=sci-electronics/kicad-5.99"
+RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild
new file mode 100644
index 000000000000..60f7cdb4bc3f
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
new file mode 100644
index 000000000000..08b5804b2496
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
index 965cbab236bb..01a1128e741e 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit cmake
@@ -12,25 +12,17 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN}-${MY_PV}"
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
-RDEPEND=">=sci-electronics/kicad-5.99"
+RDEPEND=">=sci-electronics/kicad-6.0.0"
if [[ ${PV} == 9999 ]] ; then
- # x11-misc-util/macros only required on live ebuilds
+# x11-misc-util/macros only required on live ebuilds
BDEPEND+=" >=x11-misc/util-macros-1.18"
fi
diff --git a/sci-electronics/kicad-footprints/metadata.xml b/sci-electronics/kicad-footprints/metadata.xml
index 967960d0c9c2..64bf9844c919 100644
--- a/sci-electronics/kicad-footprints/metadata.xml
+++ b/sci-electronics/kicad-footprints/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
@@ -16,6 +20,6 @@
libs and 3d model libs.
</longdescription>
<upstream>
- <remote-id type="github">kicad/kicad-footprints</remote-id>
+ <remote-id type="gitlab">kicad/libraries/kicad-footprints</remote-id>
</upstream>
</pkgmetadata>
diff --git a/sci-electronics/kicad-i18n/Manifest b/sci-electronics/kicad-i18n/Manifest
deleted file mode 100644
index 556dba133114..000000000000
--- a/sci-electronics/kicad-i18n/Manifest
+++ /dev/null
@@ -1 +0,0 @@
-DIST kicad-i18n-5.1.11.tar.bz2 2934804 BLAKE2B 736ce447df2cd42e96c26159211192d9c72d20ec4d0c119a78a503b1ba5a05c1baaaf4451ff2daa0a6e7365f881f9d9db0ec51444d87cb252198096691121cab SHA512 3789405bd08151ea96608e17e8968d9034224cfb791901dda5a50090016e4f432bbc3db90823aa09932222bd5bff342edfca9d655ade2629535158d38a85f7e3
diff --git a/sci-electronics/kicad-i18n/kicad-i18n-5.1.11.ebuild b/sci-electronics/kicad-i18n/kicad-i18n-5.1.11.ebuild
deleted file mode 100644
index 3a3a991dcd71..000000000000
--- a/sci-electronics/kicad-i18n/kicad-i18n-5.1.11.ebuild
+++ /dev/null
@@ -1,17 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools GUI translations"
-HOMEPAGE="https://gitlab.com/kicad/code/kicad-i18n"
-SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-KEYWORDS="~amd64 ~arm64 ~x86"
-
-BDEPEND="sys-devel/gettext"
-RDEPEND=">=sci-electronics/kicad-5.1.6"
diff --git a/sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild
index 13ce06ce65fd..5f2feada06fa 100644
--- a/sci-electronics/kicad-meta/kicad-meta-6.0.0_rc1.ebuild
+++ b/sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild
@@ -1,18 +1,15 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
HOMEPAGE="http://www.kicad.org"
-SRC_URI=""
LICENSE="metapackage"
SLOT="0"
-if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
-fi
+KEYWORDS="amd64 ~arm64 ~riscv ~x86"
IUSE="doc minimal"
diff --git a/sci-electronics/kicad-meta/kicad-meta-5.1.11.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.11.ebuild
index 8ff9f5fb6d71..e47e613dc36e 100644
--- a/sci-electronics/kicad-meta/kicad-meta-5.1.11.ebuild
+++ b/sci-electronics/kicad-meta/kicad-meta-7.0.11.ebuild
@@ -1,16 +1,17 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
HOMEPAGE="http://www.kicad.org"
-SRC_URI=""
LICENSE="metapackage"
SLOT="0"
-KEYWORDS="~amd64 ~arm64"
-IUSE="doc minimal nls"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
RDEPEND="
>=sci-electronics/kicad-${PV}
@@ -23,7 +24,4 @@ RDEPEND="
>=sci-electronics/kicad-packages3d-${PV}
>=sci-electronics/kicad-templates-${PV}
)
- nls? (
- >=sci-electronics/kicad-i18n-${PV}
- )
"
diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
new file mode 100644
index 000000000000..fc543a8c6248
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/metadata.xml b/sci-electronics/kicad-meta/metadata.xml
index d69c4230a5e1..cd288a4d58f5 100644
--- a/sci-electronics/kicad-meta/metadata.xml
+++ b/sci-electronics/kicad-meta/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/kicad-packages3d/Manifest b/sci-electronics/kicad-packages3d/Manifest
index 352f9983a4e7..c5b41ed88400 100644
--- a/sci-electronics/kicad-packages3d/Manifest
+++ b/sci-electronics/kicad-packages3d/Manifest
@@ -1,2 +1,5 @@
-DIST kicad-packages3d-5.1.11.tar.bz2 727201396 BLAKE2B bdc66638d6a366bde20f7dba5c3470c729d21177ce8d3ae4ee501ec43531e72dca76350dd16912d4326ef96c7269fed6728031fe870b57c2a588e4e3040b60ca SHA512 c7eea38b75c87a684e00dce0bf8436f907eeddd386dd3c36814b0452de8e6002ad3399924f9b145945fd341276619ac61961bc6e436c4233faa53f2df05cc48d
-DIST kicad-packages3d-6.0.0_rc1.tar.gz 943436657 BLAKE2B 19be6acc72969d62ed6db7ec8b7ed0899644caf494240919b79c87f2ddd299b6502fd097e22ea0b9b99a2fa3ed0ab2445461703553fc0cb611e4dc1a455c1f5f SHA512 b1b21bcbfd2fc2a7b8e18f1457109f783cb268312d9e076adf81cec38e4511b944c0bce6bd39a06f84211e8f5dd60afc4daffd07affce6199db51b9c2cd3084d
+DIST kicad-packages3d-7.0.10.tar.bz2 773216530 BLAKE2B f45da926aa4621999c166014d6b578ace2b355343b1cf84db26f82084f27f50fd31ec1f76c91486958e6e15f353b0eac647e9251980c03f2b5522838edab5133 SHA512 c1ecf8ccb2f1e70d5981345e1f40bad6a09f1ccae4b5d67d3af3442699a3e99b6ff1a50b07a7530f6fe69d8070bd38138202f2903961afe239f11d99e1b1dd8b
+DIST kicad-packages3d-7.0.11.tar.bz2 774735333 BLAKE2B af24617db4864b4630413132a0cc5643356ea1069f095b52f157083de8d7c4800e47847ff81379669ed2584bf8c5175e54d2cf6df9fe657bcbbe151af9529ea6 SHA512 7eb3699d79a2bff0369bd610fce20c7f128e7b650d7ce5082d28ab2b3683487ef7275c3b8e1927fdddda169917a0550304b563824c5f24f9b731d3ae04727fc6
+DIST kicad-packages3d-7.0.7.tar.bz2 772674558 BLAKE2B 9223317ca29e7d09423bc743df93471f4cff65a44113e9946479c9f59ceae86268cad68bf570d08d52e2ad123095ca3e2beb8249297bdb5b0f21c23f65aed9d6 SHA512 ae4d1be2dc9196acbf8e2314886f5639e4b20a922854dfce7a7eceb89cd0497d6649a5d9043870221f585a2fe9c68c2ac4ba4ccf2ede13596e4f89ebb24cc19c
+DIST kicad-packages3d-8.0.0.tar.bz2 774767823 BLAKE2B b97f8fa0096a274a836c1f500b5cdcaee1999f8073754c6666196b24c1640042183b37238fef6e01d1a4788ad026f51503cb83d721308e78d53c54ce9000ef0c SHA512 8f62dbf5f6265c1205814ab158458acf27c28f79043f9261c8ddc31c9db47920b693813c4335aa9f3f98bc9bf4a363e6103b7eec2e8b4e5a5d386510dcc121d5
+DIST kicad-packages3d-8.0.1.tar.bz2 773486331 BLAKE2B 40c3166abb029276dc39f44d469231c1df6e271d95a7826e7119bf8c706944ec9b7478019a4f97a7e81350da793642099eddc7be078cda2896ea99d181609340 SHA512 75800ff5d68a8660130cd114365a53ed72f6fc8ed09fda10e0270a519c575ec576936b65184aa957e49d7300abc4250f7bc0b61a98f69c17dd50a4a42bd51ef7
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.11.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.11.ebuild
deleted file mode 100644
index db1b8d147cd3..000000000000
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-5.1.11.ebuild
+++ /dev/null
@@ -1,22 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit check-reqs cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
-HOMEPAGE="https://kicad.github.io/packages3d/"
-SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-KEYWORDS="~amd64 ~arm64"
-IUSE="occ +oce"
-
-REQUIRED_USE="|| ( occ oce )"
-
-RDEPEND=">=sci-electronics/kicad-5.1.0[occ=,oce(-)=]"
-
-CHECKREQS_DISK_BUILD="11G"
-S="${WORKDIR}/${P/3d/3D}"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.10.ebuild
index f5a45cc6b9cd..0274962e3c8e 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-6.0.0_rc1.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.10.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit check-reqs cmake
@@ -12,22 +12,16 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN/3d/3D}-${MY_PV}-1080b6e565e56bae9be46db2278a1542092d7a2d"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
-IUSE="+occ"
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-5.1.0[occ=]"
+RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild
new file mode 100644
index 000000000000..ff642dcbe455
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
new file mode 100644
index 000000000000..0274962e3c8e
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
index 4ef1bc6b0f7e..ff642dcbe455 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit check-reqs cmake
@@ -12,21 +12,16 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
- S="${WORKDIR}/${PN/3d/3D}-${MY_PV}" # check for directory hash
-
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
-IUSE="+occ"
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-5.99[occ=]"
+RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-packages3d/metadata.xml b/sci-electronics/kicad-packages3d/metadata.xml
index 8395544a695c..310e9a4252ce 100644
--- a/sci-electronics/kicad-packages3d/metadata.xml
+++ b/sci-electronics/kicad-packages3d/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
@@ -15,15 +19,7 @@
libs. Each directory directory contains multiple 3D model files, with
the following supported file formats: WRL, STEP.
</longdescription>
- <use>
- <flag name="occ">
- Use <pkg>sci-libs/opencascade</pkg> for data exchange
- </flag>
- <flag name="oce">
- Use <pkg>sci-libs/oce</pkg> for data exchange
- </flag>
- </use>
<upstream>
- <remote-id type="github">kicad/kicad-packages3d</remote-id>
+ <remote-id type="gitlab">kicad/libraries/kicad-packages3D</remote-id>
</upstream>
</pkgmetadata>
diff --git a/sci-electronics/kicad-symbols/Manifest b/sci-electronics/kicad-symbols/Manifest
index 5cfb72170b43..f28ff4439aa4 100644
--- a/sci-electronics/kicad-symbols/Manifest
+++ b/sci-electronics/kicad-symbols/Manifest
@@ -1,2 +1,5 @@
-DIST kicad-symbols-5.1.11.tar.bz2 1815207 BLAKE2B 1502115b0191794987c3cd9357ce2bf44977dfde149abcfcedf9726d7e4c6d081ca384674b93e29d0885773adfc1fcca9ad9cc835548c5f5130cd1c401df7b5a SHA512 40120c16ad73529c9aecfdd4ca53be61f1a6b99914c28064057da7280fd11732fc7e49e854b3660eaa88678088f08578cae55db49fa4d9f5e3e26bedc1509053
-DIST kicad-symbols-6.0.0_rc1.tar.gz 4738558 BLAKE2B 2fb0e50b4a088c7f3d9ba0b919eb917b322109d0f9d4c181d9d6ae4f5daa3812f117b1a6dd198e30e5e8b774015a794a1214177b14d5a07425c402f2c7c10f1d SHA512 dd1e93fad1d161718aa98a6806a9cba38dbfc6d6bde80feefb5ec709be593794670c979c849db7b386ab4cd703e2e4d385ecae20f99a2332e55869f0f4d5737e
+DIST kicad-symbols-7.0.10.tar.bz2 3119612 BLAKE2B b5957fe4168bd325f7628110ccac1c40d24891c07d8b9618f8c7b1c3c612afd2e1efff82357ca1f4259e7dd06c1a11abf18f29e0e4b1dd8e531b9a80c94f5d8b SHA512 dd9b80a88a8ed10bb42c921f5d56ce4e82e2349b64a23e08f2d75d0903073e2e5132bce63d23d0b71116775392994dd5292742874e87b81bdb2b726f6c4c09f0
+DIST kicad-symbols-7.0.11.tar.bz2 3369887 BLAKE2B a32be647927ea56e5f806a38983001ed31e22495b3e6dd5c63f3f0b69c84c2efdbcc8c5d18aa6ee8060242f1b0ea5c96ca0f2e7c7a98a4cb8c4f69eec1985ae7 SHA512 16e2dc478500f5b7baff0e5205530d14bc5969b2b1cdf7158995f194c7984025edc54c6a3b460ebc352dec1d3eb01e28474b027aa8994adc6958e3d014018263
+DIST kicad-symbols-7.0.7.tar.bz2 3026949 BLAKE2B 9f2db4e1e63333fb1ca1625bc7218ca5163e6557f27978215ebc0c858990be09352e62f473928cdd28624a6543d7c0415536e0d09b54c8cc77d17a18caa3e788 SHA512 f66cb91b8192aee70a921f6f7c83e5b1fe2354ced2b7f97ec693af47cfa0eed261e23bb4774029918a991f5b35cb2a65b0831fee097a92bcc8a1e2c22f85fed8
+DIST kicad-symbols-8.0.0.tar.bz2 3645950 BLAKE2B 88c3ff83cc9bfa3af347d0bd61d1ed1ed37f7fc37f385238331d71de72def16d39b1480ebb2a828a2182290fd02868b557a45b38b1ce8af26562235334b00f4b SHA512 ede78b1e876a63fe1e6adcb108ccbf31d1e53e9198dbe933ebf0a86efe550f80588e7611f990dde9b5614f37ea7be186a8733df310e3c01a7a43425f478e173a
+DIST kicad-symbols-8.0.1.tar.bz2 3639516 BLAKE2B 0f017f446705b0fe1e3fa1d44881ffef2dfbfc4f7d072d49e8c891f4de857700d29fc59bcadf266850e337655314e931ea19782d7f2dc88d20c556bce605127a SHA512 4acd7e203c6c3c1564569825a5ed17f70e96e92492582b853a5199cc4d3bb0833a1b5c55addc3f3360de5a0f9107b15e358229df2a3714b649cdc97e881ad876
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-5.1.11.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-5.1.11.ebuild
deleted file mode 100644
index 85dbde951ce9..000000000000
--- a/sci-electronics/kicad-symbols/kicad-symbols-5.1.11.ebuild
+++ /dev/null
@@ -1,16 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
-HOMEPAGE="https://kicad.github.io/symbols/"
-SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-KEYWORDS="~amd64 ~arm64 ~x86"
-
-RDEPEND=">=sci-electronics/kicad-5.1.0"
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.10.ebuild
index 985b0dfbfdba..ee13e8526636 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-6.0.0_rc1.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.10.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit cmake
@@ -12,23 +12,15 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN}-${MY_PV}"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
-RDEPEND=">=sci-electronics/kicad-5.99"
+RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild
new file mode 100644
index 000000000000..812d1b0a931e
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
new file mode 100644
index 000000000000..ed18acfa6346
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
index 261092ede9b0..af9033e23412 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit cmake
@@ -12,22 +12,15 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN}-${MY_PV}"
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-RDEPEND=">=sci-electronics/kicad-5.99"
+RDEPEND=">=sci-electronics/kicad-6.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-symbols/metadata.xml b/sci-electronics/kicad-symbols/metadata.xml
index 93fa289cfe30..6fcb0257a43a 100644
--- a/sci-electronics/kicad-symbols/metadata.xml
+++ b/sci-electronics/kicad-symbols/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
@@ -15,6 +19,6 @@
best used in combination with the official footprint libs.
</longdescription>
<upstream>
- <remote-id type="github">kicad/kicad-symbols</remote-id>
+ <remote-id type="gitlab">kicad/libraries/kicad-symbols</remote-id>
</upstream>
</pkgmetadata>
diff --git a/sci-electronics/kicad-templates/Manifest b/sci-electronics/kicad-templates/Manifest
index 42ba3fc03b78..168089e44a76 100644
--- a/sci-electronics/kicad-templates/Manifest
+++ b/sci-electronics/kicad-templates/Manifest
@@ -1,2 +1,5 @@
-DIST kicad-templates-5.1.11.tar.bz2 1552348 BLAKE2B 1ca6f048c965aac6288032896fd59ec87464ebdd380149250ad0b2f54b193a83640c81f35269a65aec49dc71f27e60da1cb2c37962b17e907b9d177dc1d29c34 SHA512 029501bf53186d01dc72e2fa0a7d3b3becb4df3b00a5a52f0b68c8a0a2df87ce9ed6ddca731d6bb06cf18e9e0c8a2d337e3feee6b111ac329060d85917411972
-DIST kicad-templates-6.0.0_rc1.tar.gz 2248926 BLAKE2B 54a45a32788650f3895f91fb993768a3caea55b304c38f07c933b7765f96e30b93b6c206a49f28b0813b9739e1ecd3e48e4939d9150089d115d59a98a1308dc2 SHA512 7e7604a6aa1657f222f258c3f9d62b1175e239bbec8c5ea2a681293786d2b04b31c5b9f05da031661364017464de741fbe823fd532eeadfb0a8c220051ca7f0e
+DIST kicad-templates-7.0.10.tar.bz2 1347681 BLAKE2B 0a6b2d06d257a21f32b1d5a5dfb90cf6f84f589b498faf292f0799a14dac8088482d9fa2a6cd55b2c3f9a145795cfeeb19e43b4a376ace22bab4f781fdba08ef SHA512 ee073bb615edb501075c703f60d4ba8015b489fb416d4a7709e552950904fc29b7c1dd32dd6edee2edf766d15cd1fe385c96c5d859ef89498abbb30714dcb919
+DIST kicad-templates-7.0.11.tar.bz2 1348043 BLAKE2B 8aa60440fb2344dbcf2336ed78694a5f07bc167475b0a75ff1095c3b72407feaa2a453bfb8a199529ae48d96bcd005f48b83e38dff1f7ea51005c45f0b8be6a9 SHA512 02248755e4b2cba8e496eb9e8349f6e5a88e11d95afbffae6dd87e423615c50744dc3f47b138ea253bb4b06d5c853d34d1980dadd7e18abbecbd7c032c7d0290
+DIST kicad-templates-7.0.7.tar.bz2 1247513 BLAKE2B 7660829ff7474bb703011542a66bb63db9e011f717e86767eb4b09a4b802d64aa785b0283cae75c195059984a0fa0bf7a83cf5c0287850c3df9dbd40ebc4030a SHA512 dc579c72be647db67aac66bf12abe1fbb6763cf9120d325bcd60f321642b05b8b25354ede1e1c1ed31bc44f486d35c027f131230538120c49aa6f0b0e00ad5b2
+DIST kicad-templates-8.0.0.tar.bz2 1347354 BLAKE2B f24c131e02af8f5dbc4241c3bb812ba0e99e62cd054c2f8e39ac24091c097ee70b85e297c47183dfe598f60d5865e0db2b49101ba77577553f5eec7825cbb7a7 SHA512 636d9b1365f212a98e20d44251fd2e545c8a7bae5be25448c41976ada2bdb0ce8be0a64c9eedd89b13bcdb2c23702c8d3120ffbd89ca2fb36dce8462ad4237d5
+DIST kicad-templates-8.0.1.tar.bz2 1347686 BLAKE2B 20e7e486992de838b40ec289f2e75a929067ef0db048e97789850406f4dfd3617e78882a6557765a5ba27891f177d747ab922b3c33e7c4ad3099fdafc1755731 SHA512 9cbac4593eec461ed39c2f561f852dac321f78a9bc9ae2facd5bca6e4ce3cfcf942a693be7229cbabedeb0cfbedc304b86e302fd397e8babe4fff21c9627ab23
diff --git a/sci-electronics/kicad-templates/kicad-templates-5.1.11.ebuild b/sci-electronics/kicad-templates/kicad-templates-5.1.11.ebuild
deleted file mode 100644
index dce1272e1a77..000000000000
--- a/sci-electronics/kicad-templates/kicad-templates-5.1.11.ebuild
+++ /dev/null
@@ -1,16 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit cmake
-
-DESCRIPTION="Electronic Schematic and PCB design tools project templates"
-HOMEPAGE="https://github.com/kicad/kicad-templates"
-SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
-LICENSE="CC-BY-SA-4.0"
-SLOT="0"
-KEYWORDS="~amd64 ~arm64 ~x86"
-
-RDEPEND=">=sci-electronics/kicad-5.1.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.10.ebuild
index c54c0c834164..0efdfba630c0 100644
--- a/sci-electronics/kicad-templates/kicad-templates-6.0.0_rc1.ebuild
+++ b/sci-electronics/kicad-templates/kicad-templates-7.0.10.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit cmake
@@ -11,18 +11,12 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN}-${MY_PV}"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-5.99"
+RDEPEND=">=sci-electronics/kicad-7.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild
new file mode 100644
index 000000000000..5bbfee81722b
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild
new file mode 100644
index 000000000000..8e19625960ff
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-7.0.7.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-7.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
index c54c0c834164..db3c87def1eb 100644
--- a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
+++ b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
@@ -1,7 +1,7 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
inherit cmake
@@ -11,18 +11,12 @@ if [[ ${PV} == 9999 ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
inherit git-r3
else
- MY_PV="${PV/_rc/-rc}"
- MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
- fi
-
- S="${WORKDIR}/${PN}-${MY_PV}"
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-5.99"
+RDEPEND=">=sci-electronics/kicad-6.0.0"
diff --git a/sci-electronics/kicad-templates/metadata.xml b/sci-electronics/kicad-templates/metadata.xml
index 965568bdcfd3..15d540d17fa6 100644
--- a/sci-electronics/kicad-templates/metadata.xml
+++ b/sci-electronics/kicad-templates/metadata.xml
@@ -5,11 +5,16 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
</maintainer>
<upstream>
+ <remote-id type="gitlab">kicad/libraries/kicad-templates</remote-id>
<remote-id type="github">kicad/kicad-templates</remote-id>
</upstream>
</pkgmetadata>
diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest
index 5458d6f5731f..0465595250b9 100644
--- a/sci-electronics/kicad/Manifest
+++ b/sci-electronics/kicad/Manifest
@@ -1,2 +1,5 @@
-DIST kicad-5.1.11.tar.bz2 18584584 BLAKE2B 87fb940e9e766ec5685eb0c84f450d60a6e8718937545ccd7dbd3bfc5f00af193224e877ac7ce249c3e89687d8f92f08b942effee0883debc14234b7ae473e21 SHA512 d244b020e8f4aab18cc91d72412f9c093055f0bab04e250bb46db6342c38c29f68c26132c53dde699042d3440a6c680d041a16d30e5fdc8be540b1023b6ba148
-DIST kicad-6.0.0_rc1.tar.gz 44783434 BLAKE2B c19cc17666c00210f844970d8b0aad3d929470544d641f94bdfd9e521f6c954fec6098885606f72ffb75a074794358cb6632fea03fa4196dbfbcf6d6fe077c5e SHA512 75a91ea111776f879b6cee14d09bcf9caa3cdc6d8220b2b89727e4f9d57db242b6c1b4c1235035f5bb8356c8bbc3cd9b9d6d80b2529c290a3fa57d90ba4dc632
+DIST kicad-7.0.10.tar.bz2 43991960 BLAKE2B 3e21f575e41a6036ff2a9c3ab17472e51c73612ddadbf88631717b43024e604a8607fff013828823ff4db4d4263ac8e3bcc3b069e3efdfdc66fdb627f60f192a SHA512 f8005e6cb685c4cdc3982eec644f6d4a115138eaa19ca0bd2e6ae07613eac9c98fd7cdc181dd83e6c6d3c4b73ff6a54261ac5448a2a9c53298bc611c1bf408e1
+DIST kicad-7.0.11.tar.bz2 44083805 BLAKE2B d923581f49b3cf48776de56ba12ddc99e942269c0c14950bb6b3413fb616af74a1b4e7095fc746e20125096f7d2e4c7a9f271dd58918b22fa53e8ce7b78fa6f6 SHA512 28d5560ead989dcfb6d3b07e37443de3bf1883bd56604ae20f70c0a068504fd8745f7011507d417f487b86071cec130ac8eb7bda7513d096e78dcc3dc98585aa
+DIST kicad-7.0.7.tar.bz2 44055973 BLAKE2B 4169e111b8d2086572aa2979f09a8119dbc9caf34790ec5e5f3e0972d0a2e93dab6a1c814dc35ae40d5962e7ba93bad83d5930e9dba9b9f2e52f307378519714 SHA512 82eff4a16847c657ae3102af7914539bf7404e8856ca8c94557533a3ddd7b40ff4571b351dab48349d503d413cf293880245a97238516add83e79890325f1067
+DIST kicad-8.0.0.tar.bz2 72430414 BLAKE2B 52bad016249563d9668f08da2103e47c7db706e6749e23ff5c59fd423673db614a1c8681cd0e7927571fe157644e142929891647964c48f45b219e72166c3ebc SHA512 52e605c10fbac0a3c6208f92ab9e567de9074e41495db9619631765a0f20e2da23a2d45610bd367d943e8b4a2d72edf33456007aabcc1f7672b0bb1a93101ce0
+DIST kicad-8.0.1.tar.bz2 72453098 BLAKE2B 67c6b69256566a176ae10affa7ac65879db71f5017dc24312eba904724b2b28caa56f6c82c697d27b34d94f9a8f5448307948e17f17c9750693b192afa3ffab9 SHA512 b6509bb4bfd1cbe87a2f409b70a8ba037a44fb039aaacd9d62868b43925382c0d3aab4c4f7e4bbd646b6ea7cf35fd7318f620a1c8c250c09251349357f2caf11
diff --git a/sci-electronics/kicad/files/kicad-5.1.5-help.patch b/sci-electronics/kicad/files/kicad-5.1.5-help.patch
deleted file mode 100644
index 1b37e3013c1e..000000000000
--- a/sci-electronics/kicad/files/kicad-5.1.5-help.patch
+++ /dev/null
@@ -1,117 +0,0 @@
-diff -Naur kicad-5.1.5-orig/CMakeLists.txt kicad-5.1.5/CMakeLists.txt
---- kicad-5.1.5-orig/CMakeLists.txt 2019-11-14 09:09:45.000000000 -0800
-+++ kicad-5.1.5/CMakeLists.txt 2019-12-15 03:41:57.574995996 -0800
-@@ -442,6 +442,10 @@
- set( KIFACE_PREFIX "_" )
- #message( STATUS "KIFACE_SUFFIX:${KIFACE_SUFFIX} KIFACE_PREFIX:${KIFACE_PREFIX}" )
-
-+# KICAD_HELP is the location of the help files accessed from the UI
-+# (usually provided via kicad-doc package)
-+set ( KICAD_HELP share/doc/kicad
-+ CACHE PATH "Alternative location of KiCad help files.")
-
- #================================================
- # Locations for install targets.
-diff -Naur kicad-5.1.5-orig/CMakeModules/config.h.cmake kicad-5.1.5/CMakeModules/config.h.cmake
---- kicad-5.1.5-orig/CMakeModules/config.h.cmake 2019-11-14 09:09:45.000000000 -0800
-+++ kicad-5.1.5/CMakeModules/config.h.cmake 2019-12-15 03:42:00.368013375 -0800
-@@ -59,6 +59,10 @@
- /// The install prefix defined during CMake configuration or fall back to CMAKE_INSTALL_PREFIX.
- #define DEFAULT_INSTALL_PATH "@DEFAULT_INSTALL_PATH@"
-
-+/// KiCad help files path can be different on some systems allow adding alternative,
-+/// search path for them. Defaults to CMAKE_INSTALL_PREFIX/share/doc/kicad
-+#define KICAD_HELP "@KICAD_HELP@"
-+
- /// The wxPython version found during configuration.
- #if defined( KICAD_SCRIPTING_WXPYTHON )
- #define WXPYTHON_VERSION "@WXPYTHON_VERSION@"
-diff -Naur kicad-5.1.5-orig/common/searchhelpfilefullpath.cpp kicad-5.1.5/common/searchhelpfilefullpath.cpp
---- kicad-5.1.5-orig/common/searchhelpfilefullpath.cpp 2019-11-14 09:09:45.000000000 -0800
-+++ kicad-5.1.5/common/searchhelpfilefullpath.cpp 2019-12-15 03:42:03.168030796 -0800
-@@ -25,7 +25,7 @@
-
- #include <pgm_base.h>
- #include <common.h>
--#include <config.h> // to define DEFAULT_INSTALL_PATH
-+#include <config.h> // to define DEFAULT_INSTALL_PATH and KICAD_HELP
- #include <macros.h>
- #include <trace_helpers.h>
-
-@@ -69,6 +69,10 @@
- wxArrayString subdirs;
- wxArrayString altsubdirs;
- SEARCH_STACK ss = aSStack;
-+#if ! defined(__WXMAC__) // && defined(__linux__)
-+ SEARCH_STACK altss;
-+ wxArrayString moresubdirs;
-+#endif
-
- // It might already be in aSStack, but why depend on other code
- // far away when it's so easy to add it again (to our copy) as the first place to look.
-@@ -76,6 +80,7 @@
- // This is CMAKE_INSTALL_PREFIX unless DEFAULT_INSTALL_PATH was defined during
- // build configuration:
- ss.AddPaths( wxT( DEFAULT_INSTALL_PATH ), 0 );
-+ altss.AddPaths( wxT( KICAD_HELP ), 0 );
-
- #if defined(__WXMAC__)
- ss.AddPaths( GetOSXKicadMachineDataDir() );
-@@ -104,16 +109,17 @@
-
- // Based on kicad-doc.bzr/CMakeLists.txt, line 20, the help files are
- // installed into "<CMAKE_INSTALL_PREFIX>/share/doc/kicad/help" for linux.
-- // This is ${KICAD_HELP} var in that CMakeLists.txt file.
- // Below we account for an international subdirectory.
- subdirs.Add( "share" );
- subdirs.Add( "doc" );
- subdirs.Add( "kicad" );
- subdirs.Add( "help" );
-
-+ // For custom help path installations via ${KICAD_HELP}
-+ moresubdirs.Add( "help" );
-+
- // Based on kicad-doc.bzr/CMakeLists.txt, line 35, the help files are
- // installed into "<CMAKE_INSTALL_PREFIX>/doc/help" for Windows.
-- // This is ${KICAD_HELP} var in that CMakeLists.txt file.
- // Below we account for an international subdirectory.
- altsubdirs.Add( "doc" );
- altsubdirs.Add( "help" );
-@@ -159,6 +165,9 @@
- {
- subdirs.Add( locale_name_dirs[ii] );
- altsubdirs.Add( locale_name_dirs[ii] );
-+#if ! defined(__WXMAC__) // && defined(__linux__)
-+ moresubdirs.Add( locale_name_dirs[ii] );
-+#endif
-
- fn = FindFileInSearchPaths( ss, aBaseName + wxT( ".html" ), &altsubdirs );
-
-@@ -188,8 +197,27 @@
- if( !fn.IsEmpty() )
- break;
-
-+#if ! defined(__WXMAC__) // && defined(__linux__)
-+ fn = FindFileInSearchPaths( altss, aBaseName + wxT( ".html" ), &moresubdirs );
-+
-+ if( !fn.IsEmpty() )
-+ {
-+ // Prepend URI protocol since we will open in a browser
-+ fn = wxT( "file://" ) + fn;
-+ break;
-+ }
-+
-+ fn = FindFileInSearchPaths( altss, aBaseName + wxT( ".pdf" ), &moresubdirs );
-+
-+ if( !fn.IsEmpty() )
-+ break;
-+#endif
-+
- subdirs.RemoveAt( subdirs.GetCount() - 1 );
- altsubdirs.RemoveAt( altsubdirs.GetCount() - 1 );
-+#if ! defined(__WXMAC__) // && defined(__linux__)
-+ moresubdirs.RemoveAt( altsubdirs.GetCount() - 1 );
-+#endif
- }
-
- return fn;
diff --git a/sci-electronics/kicad/files/kicad-5.1.5-ldflags.patch b/sci-electronics/kicad/files/kicad-5.1.5-ldflags.patch
deleted file mode 100644
index 1495037b1b72..000000000000
--- a/sci-electronics/kicad/files/kicad-5.1.5-ldflags.patch
+++ /dev/null
@@ -1,17 +0,0 @@
-diff -Naur kicad-5.1.5-orig/CMakeLists.txt kicad-5.1.5/CMakeLists.txt
---- kicad-5.1.5-orig/CMakeLists.txt 2019-11-14 09:09:45.000000000 -0800
-+++ kicad-5.1.5/CMakeLists.txt 2019-11-19 02:08:32.309282631 -0800
-@@ -355,10 +355,10 @@
-
- elseif( NOT APPLE )
- # Thou shalt not link vaporware and tell us it's a valid DSO (apple ld doesn't support it)
-- set( CMAKE_SHARED_LINKER_FLAGS "-Wl,--no-undefined" )
-- set( CMAKE_MODULE_LINKER_FLAGS "-Wl,--no-undefined" )
-+ set( CMAKE_SHARED_LINKER_FLAGS "$ENV{LDFLAGS} -Wl,--no-undefined" )
-+ set( CMAKE_MODULE_LINKER_FLAGS "$ENV{LDFLAGS} -Wl,--no-undefined" )
-
-- set( CMAKE_EXE_LINKER_FLAGS_RELEASE "-s" )
-+ set( CMAKE_EXE_LINKER_FLAGS_RELEASE "$ENV{LDFLAGS} -s" )
-
- # Defeat ELF's ability to use the GOT to replace locally implemented functions
- # with ones from another module.
diff --git a/sci-electronics/kicad/files/kicad-5.1.5-strict-aliasing.patch b/sci-electronics/kicad/files/kicad-5.1.5-strict-aliasing.patch
deleted file mode 100644
index b01a6b7d79f6..000000000000
--- a/sci-electronics/kicad/files/kicad-5.1.5-strict-aliasing.patch
+++ /dev/null
@@ -1,25 +0,0 @@
-diff --git a/eeschema/dialogs/dialog_spice_model.cpp b/eeschema/dialogs/dialog_spice_model.cpp
-index b265e2363..eb1187d86 100644
---- a/eeschema/dialogs/dialog_spice_model.cpp
-+++ b/eeschema/dialogs/dialog_spice_model.cpp
-@@ -34,6 +34,7 @@
- #include <wx/wupdlock.h>
-
- #include <cctype>
-+#include <cstring>
-
- // Helper function to shorten conditions
- static bool empty( const wxTextCtrl* aCtrl )
-@@ -804,9 +804,11 @@ bool DIALOG_SPICE_MODEL::addPwlValue( const wxString& aTime, const wxString& aVa
- float timeF;
- m_pwlTime->GetValue().ToDouble( &timeD );
- timeF = timeD;
-+ long data;
-+ std::memcpy( &data, &timeF, sizeof( timeF ) );
-
- // Store the time value, so the entries can be sorted
-- m_pwlValList->SetItemData( idx, *reinterpret_cast<long*>( &timeF ) );
-+ m_pwlValList->SetItemData( idx, data );
-
- // Sort items by timestamp
- m_pwlValList->SortItems( comparePwlValues, -1 );
diff --git a/sci-electronics/kicad/files/kicad-5.1.6-metainfo.patch b/sci-electronics/kicad/files/kicad-5.1.6-metainfo.patch
deleted file mode 100644
index 675ff749de8d..000000000000
--- a/sci-electronics/kicad/files/kicad-5.1.6-metainfo.patch
+++ /dev/null
@@ -1,30 +0,0 @@
-diff -Naur kicad-5.1.6-orig/CMakeLists.txt kicad-5.1.6/CMakeLists.txt
---- kicad-5.1.6-orig/CMakeLists.txt 2020-05-11 11:27:08.000000000 -0700
-+++ kicad-5.1.6/CMakeLists.txt 2020-05-25 20:45:57.199559075 -0700
-@@ -929,7 +929,7 @@
- set( UNIX_MIME_FILES ${UNIX_MIME_DIR}/mime )
- set( UNIX_ICON_FILES ${UNIX_MIME_DIR}/icons )
- set( UNIX_APPLICATIONS_FILES ${UNIX_MIME_DIR}/applications )
-- set( UNIX_APPDATA_FILES ${PROJECT_BINARY_DIR}/resources/linux/appdata )
-+ set( UNIX_APPDATA_FILES ${PROJECT_BINARY_DIR}/resources/linux/metainfo )
-
- # Install Mime directory
- install( DIRECTORY ${UNIX_ICON_FILES}
-diff -Naur kicad-5.1.6-orig/CMakeModules/WritePlatformMetadata_linux.cmake kicad-5.1.6/CMakeModules/WritePlatformMetadata_linux.cmake
---- kicad-5.1.6-orig/CMakeModules/WritePlatformMetadata_linux.cmake 2020-05-11 11:27:08.000000000 -0700
-+++ kicad-5.1.6/CMakeModules/WritePlatformMetadata_linux.cmake 2020-05-25 20:54:51.927438936 -0700
-@@ -36,11 +36,11 @@
- string( TIMESTAMP KICAD_CONFIG_TIMESTAMP "%Y-%m-%d" )
-
- # Configure the KiCad appdata file
--configure_file( ${PROJECT_SOURCE_DIR}/resources/linux/appdata/kicad.appdata.xml.in
-- ${PROJECT_BINARY_DIR}/resources/linux/appdata/kicad.appdata.xml
-+configure_file( ${PROJECT_SOURCE_DIR}/resources/linux/metainfo/kicad.appdata.xml.in
-+ ${PROJECT_BINARY_DIR}/resources/linux/metainfo/kicad.appdata.xml
- @ONLY )
-
- # Ensure the file was configured successfully
--if( NOT EXISTS ${PROJECT_BINARY_DIR}/resources/linux/appdata/kicad.appdata.xml )
-+if( NOT EXISTS ${PROJECT_BINARY_DIR}/resources/linux/metainfo/kicad.appdata.xml )
- message( FATAL_ERROR "Configuration failed to write file kicad.appdata.xml." )
- endif()
diff --git a/sci-electronics/kicad/files/kicad-7.0.0-werror.patch b/sci-electronics/kicad/files/kicad-7.0.0-werror.patch
new file mode 100644
index 000000000000..b5fa3b1ae15d
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-7.0.0-werror.patch
@@ -0,0 +1,21 @@
+From 04fc6081bd3d6575d00589f71150ab796778a9c9 Mon Sep 17 00:00:00 2001
+From: Matthew Smith <matthew@gentoo.org>
+Date: Mon, 20 Feb 2023 17:53:50 +0000
+Subject: [PATCH] build: Remove Werror flag from clipper2
+
+Bug: https://bugs.gentoo.org/895014
+
+--- a/thirdparty/clipper2/CMakeLists.txt
++++ b/thirdparty/clipper2/CMakeLists.txt
+@@ -27,7 +27,7 @@ target_compile_definitions(clipper2 PUBLIC USINGZ)
+ if (MSVC)
+ target_compile_options(clipper2 PRIVATE /W4 /WX)
+ else()
+- target_compile_options(clipper2 PRIVATE -Wall -Wextra -Wpedantic -Werror)
++ target_compile_options(clipper2 PRIVATE -Wall -Wextra -Wpedantic)
+ target_link_libraries(clipper2 PUBLIC -lm)
+ endif()
+
+--
+2.39.2
+
diff --git a/sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch b/sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch
new file mode 100644
index 000000000000..d2523993e6fe
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch
@@ -0,0 +1,36 @@
+From: Huang Rui <vowstar@gmail.com>
+Date: Fri, 22 Mar 2024 18:18:40 +0800
+Subject: [PATCH] libgit2-1.8.0 compatibility: adjusted parent pointer type
+
+- Adjusted parent pointer type in git_commit_create call for compatibility
+ with libgit2 1.8.0 and above.
+- Included preprocessor checks to maintain support for versions older than
+ 1.8.0.
+- Ensures consistent function behavior across different libgit2 versions.
+
+Fixes https://gitlab.com/kicad/code/kicad/-/issues/17536
+Signed-off-by: Huang Rui <vowstar@gmail.com>
+---
+ kicad/project_tree_pane.cpp | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/kicad/project_tree_pane.cpp
++++ b/kicad/project_tree_pane.cpp
+@@ -2233,7 +2233,14 @@ void PROJECT_TREE_PANE::onGitCommit( wxCommandEvent& aEvent )
+ }
+
+ git_oid oid;
++ // Check if the libgit2 library version is 1.8.0 or higher
++#if ( LIBGIT2_VER_MAJOR > 1 ) || ( LIBGIT2_VER_MAJOR == 1 && LIBGIT2_VER_MINOR >= 8 )
++ // For libgit2 version 1.8.0 and above
++ git_commit* const parents[1] = { parent };
++#else
++ // For libgit2 versions older than 1.8.0
+ const git_commit* parents[1] = { parent };
++#endif
+
+ if( git_commit_create( &oid, repo, "HEAD", author, author, nullptr, commit_msg.mb_str(), tree,
+ 1, parents ) != 0 )
+--
+2.44.0
+
diff --git a/sci-electronics/kicad/kicad-5.1.11.ebuild b/sci-electronics/kicad/kicad-5.1.11.ebuild
deleted file mode 100644
index 586e7c949bb1..000000000000
--- a/sci-electronics/kicad/kicad-5.1.11.ebuild
+++ /dev/null
@@ -1,181 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-PYTHON_COMPAT=( python3_{8,9} )
-
-WX_GTK_VER="3.0-gtk3"
-
-inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
-
-DESCRIPTION="Electronic Schematic and PCB design tools"
-HOMEPAGE="https://www.kicad.org"
-SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${PV}/${P}.tar.bz2"
-
-LICENSE="GPL-2+ GPL-3+ Boost-1.0"
-SLOT="0"
-KEYWORDS="~amd64 ~arm64 ~x86"
-IUSE="doc examples github +ngspice occ +oce openmp +python"
-
-REQUIRED_USE="
- python? ( ${PYTHON_REQUIRED_USE} )
- ?? ( occ oce )
-"
-
-COMMON_DEPEND="
- >=dev-libs/boost-1.61:=[context,nls,threads(+)]
- media-libs/freeglut
- media-libs/glew:0=
- >=media-libs/glm-0.9.9.1
- media-libs/mesa[X(+)]
- >=x11-libs/cairo-1.8.8:=
- >=x11-libs/pixman-0.30
- x11-libs/wxGTK:${WX_GTK_VER}[X,opengl]
- github? ( net-misc/curl:=[ssl] )
- ngspice? (
- >sci-electronics/ngspice-27[shared]
- )
- occ? ( <sci-libs/opencascade-7.5.3:=[vtk(+)] )
- oce? ( sci-libs/oce )
- python? (
- $(python_gen_cond_dep '
- >=dev-libs/boost-1.61:=[context,nls,threads(+),python,${PYTHON_USEDEP}]
- dev-python/wxpython:4.0[${PYTHON_USEDEP}]
- ')
- ${PYTHON_DEPS}
- )
-"
-DEPEND="${COMMON_DEPEND}
- python? ( >=dev-lang/swig-3.0:0 )"
-RDEPEND="${COMMON_DEPEND}
- sci-electronics/electronics-menu
-"
-BDEPEND="doc? ( app-doc/doxygen )"
-CHECKREQS_DISK_BUILD="800M"
-
-PATCHES=(
- "${FILESDIR}/${PN}-5.1.5-help.patch"
- "${FILESDIR}/${PN}-5.1.5-strict-aliasing.patch"
- "${FILESDIR}/${PN}-5.1.6-metainfo.patch"
- "${FILESDIR}/${PN}-5.1.5-ldflags.patch"
-)
-
-pkg_setup() {
- use python && python-single-r1_pkg_setup
- use openmp && tc-check-openmp
- setup-wxwidgets
- check-reqs_pkg_setup
-}
-
-src_unpack() {
- default_src_unpack
- # For the metainfo patch to work the kicad.appdata.xml has to be moved to
- # avoid QA issue. This is needed because /usr/share/appdata location is
- # deprecated, it should not be used anymore by new software.
- # Appdata/Metainfo files should be installed into /usr/share/metainfo
- # directory. as per
- # https://www.freedesktop.org/software/appstream/docs/chap-Metadata.html
- mv "${S}/resources/linux/appdata" "${S}/resources/linux/metainfo" || die "Appdata move failed"
-}
-
-src_prepare() {
- # Fix OpenCASCADE lookup
- sed -e 's|/usr/include/opencascade|${CASROOT}/include/opencascade|' \
- -e 's|/usr/lib|${CASROOT}/'$(get_libdir)' NO_DEFAULT_PATH|' \
- -i CMakeModules/FindOpenCASCADE.cmake || die
-
- cmake_src_prepare
-}
-
-src_configure() {
- xdg_environment_reset
-
- local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PF}"
- -DKICAD_HELP="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
- -DBUILD_GITHUB_PLUGIN="$(usex github)"
- -DKICAD_SCRIPTING="$(usex python)"
- -DKICAD_SCRIPTING_MODULES="$(usex python)"
- -DKICAD_SCRIPTING_WXPYTHON="$(usex python)"
- -DKICAD_SCRIPTING_WXPYTHON_PHOENIX="$(usex python)"
- -DKICAD_SCRIPTING_PYTHON3="$(usex python)"
- -DKICAD_SCRIPTING_ACTION_MENU="$(usex python)"
- -DKICAD_SPICE="$(usex ngspice)"
- -DKICAD_USE_OCC="$(usex occ)"
- -DKICAD_USE_OCE="$(usex oce)"
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
- )
- use python && mycmakeargs+=(
- -DPYTHON_DEST="$(python_get_sitedir)"
- -DPYTHON_EXECUTABLE="${PYTHON}"
- -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
- -DPYTHON_LIBRARY="$(python_get_library_path)"
- )
- if use occ; then
- if has_version "~sci-libs/opencascade-7.5.2"; then
- mycmakeargs+=(
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade-7.5.2
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade-7.5.2
- )
- elif has_version "~sci-libs/opencascade-7.5.1"; then
- mycmakeargs+=(
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade-7.5.1
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade-7.5.1
- )
- else
- # <occ-7.5 uses different layout
- mycmakeargs+=(
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)
- )
- fi
- fi
-
- cmake_src_configure
-}
-
-src_compile() {
- cmake_src_compile
- if use doc; then
- cmake_src_compile dev-docs doxygen-docs
- fi
-}
-
-src_install() {
- cmake_src_install
- use python && python_optimize
- if use doc ; then
- dodoc uncrustify.cfg
- cd Documentation || die
- dodoc -r *.txt kicad_doxygen_logo.png notes_about_pcbnew_new_file_format.odt doxygen/. development/doxygen/.
- fi
-}
-
-src_test() {
- # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- ln -s "${S}_build/eeschema/_eeschema.kiface" "${S}_build/qa/eeschema/_eeschema.kiface" || die
-
- default
-}
-
-pkg_postinst() {
- optfeature "Component symbols library" sci-electronics/kicad-symbols
- optfeature "Component footprints library" sci-electronics/kicad-footprints
- optfeature "3D models of components " sci-electronics/kicad-packages3d
- optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Different languages for GUI" sci-electronics/kicad-i18n
- optfeature "Extended documentation" app-doc/kicad-doc
- optfeature "Creating 3D models of components" media-gfx/wings
-
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
-
-pkg_postrm() {
- xdg_desktop_database_update
- xdg_mimeinfo_database_update
- xdg_icon_cache_update
-}
diff --git a/sci-electronics/kicad/kicad-6.0.0_rc1.ebuild b/sci-electronics/kicad/kicad-7.0.10.ebuild
index 87a5fb43e652..01d0cff6c0d7 100644
--- a/sci-electronics/kicad/kicad-6.0.0_rc1.ebuild
+++ b/sci-electronics/kicad/kicad-7.0.10.ebuild
@@ -1,11 +1,10 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-PYTHON_COMPAT=( python3_{8,9} )
-
-WX_GTK_VER="3.0-gtk3"
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
@@ -18,63 +17,76 @@ if [[ ${PV} == 9999 ]]; then
else
MY_PV="${PV/_rc/-rc}"
MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
S="${WORKDIR}/${PN}-${MY_PV}"
if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
fi
-LICENSE="GPL-2+ GPL-3+ Boost-1.0"
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
SLOT="0"
-IUSE="doc examples +ngspice openmp +python occ +pcm"
+IUSE="doc examples nls openmp test"
-REQUIRED_USE="
- python? ( ${PYTHON_REQUIRED_USE} )
-"
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
COMMON_DEPEND="
- >=dev-libs/boost-1.61:=[context,nls]
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
media-libs/freeglut
media-libs/glew:0=
>=media-libs/glm-0.9.9.1
media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.3.0:0=
+ <sci-libs/opencascade-7.8.0:0=
>=x11-libs/cairo-1.8.8:=
>=x11-libs/pixman-0.30
- x11-libs/wxGTK:${WX_GTK_VER}[X,opengl]
- ngspice? (
- >sci-electronics/ngspice-27[shared]
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
)
- occ? (
- >=sci-libs/opencascade-6.8.0:=
- )
- python? (
- $(python_gen_cond_dep '
- >=dev-libs/boost-1.61:=[context,nls,python,${PYTHON_MULTI_USEDEP}]
- dev-python/wxpython:4.0[${PYTHON_MULTI_USEDEP}]
- ')
- ${PYTHON_DEPS}
+ test? (
+ media-gfx/cairosvg
)
"
-DEPEND="${COMMON_DEPEND}
- python? ( >=dev-lang/swig-3.0:0 )"
+DEPEND="${COMMON_DEPEND}"
RDEPEND="${COMMON_DEPEND}
sci-electronics/electronics-menu
"
-BDEPEND="doc? ( app-doc/doxygen )"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
BDEPEND+=" >=x11-misc/util-macros-1.18"
fi
-CHECKREQS_DISK_BUILD="800M"
-CAS_VERSION=7.5.3
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-7.0.0-werror.patch
+)
pkg_setup() {
- use python && python-single-r1_pkg_setup
- use openmp && tc-check-openmp
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
setup-wxwidgets
check-reqs_pkg_setup
}
@@ -91,34 +103,26 @@ src_configure() {
xdg_environment_reset
local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PF}"
- -DKICAD_HELP="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
- -DKICAD_SCRIPTING="$(usex python)"
- -DKICAD_SCRIPTING_MODULES="$(usex python)"
- -DKICAD_SCRIPTING_WXPYTHON="$(usex python)"
- -DKICAD_SCRIPTING_WXPYTHON_PHOENIX="$(usex python)"
- -DKICAD_SCRIPTING_PYTHON3="$(usex python)"
- -DKICAD_SCRIPTING_ACTION_MENU="$(usex python)"
- -DKICAD_SPICE="$(usex ngspice)"
- -DKICAD_PCM="$(usex pcm)"
-
- -DKICAD_USE_OCC="$(usex occ)"
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
- )
- use python && mycmakeargs+=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
-DPYTHON_DEST="$(python_get_sitedir)"
-DPYTHON_EXECUTABLE="${PYTHON}"
-DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
-DPYTHON_LIBRARY="$(python_get_library_path)"
- )
- local OCC_P=$(best_version sci-libs/opencascade)
- OCC_P=${OCC_P#sci-libs/}
- OCC_P=${OCC_P%-r*}
- use occ && mycmakeargs+=(
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/${OCC_P}
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/${OCC_P}
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
)
cmake_src_configure
@@ -127,13 +131,14 @@ src_configure() {
src_compile() {
cmake_src_compile
if use doc; then
- cmake_src_compile dev-docs doxygen-docs
+ cmake_src_compile doxygen-docs
fi
}
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
@@ -141,11 +146,13 @@ src_test() {
src_install() {
cmake_src_install
- use python && python_optimize
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
if use doc ; then
- dodoc uncrustify.cfg
- cd Documentation || die
- dodoc -r *.txt kicad_doxygen_logo.png notes_about_pcbnew_new_file_format.odt doxygen/. development/doxygen/.
+ cd doxygen || die
+ dodoc -r out/html/.
fi
}
@@ -154,7 +161,6 @@ pkg_postinst() {
optfeature "Component footprints library" sci-electronics/kicad-footprints
optfeature "3D models of components " sci-electronics/kicad-packages3d
optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Different languages for GUI" sci-electronics/kicad-i18n
optfeature "Extended documentation" app-doc/kicad-doc
optfeature "Creating 3D models of components" media-gfx/wings
diff --git a/sci-electronics/kicad/kicad-7.0.11.ebuild b/sci-electronics/kicad/kicad-7.0.11.ebuild
new file mode 100644
index 000000000000..6048013c238d
--- /dev/null
+++ b/sci-electronics/kicad/kicad-7.0.11.ebuild
@@ -0,0 +1,176 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.3.0:0=
+ <sci-libs/opencascade-7.8.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-7.0.0-werror.patch
+)
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-7.0.7.ebuild b/sci-electronics/kicad/kicad-7.0.7.ebuild
new file mode 100644
index 000000000000..66c7ff8dd513
--- /dev/null
+++ b/sci-electronics/kicad/kicad-7.0.7.ebuild
@@ -0,0 +1,174 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.3.0:0=
+ <sci-libs/opencascade-7.8.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ ~dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-7.0.0-werror.patch
+)
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-8.0.0.ebuild b/sci-electronics/kicad/kicad-8.0.0.ebuild
new file mode 100644
index 000000000000..96b4a708d332
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.0.ebuild
@@ -0,0 +1,181 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp telemetry test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ <sci-libs/opencascade-7.8.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.0.1-libgit2.patch
+)
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_USE_SENTRY="$(usex telemetry)"
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-8.0.1.ebuild b/sci-electronics/kicad/kicad-8.0.1.ebuild
new file mode 100644
index 000000000000..96b4a708d332
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.1.ebuild
@@ -0,0 +1,181 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp telemetry test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ <sci-libs/opencascade-7.8.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.0.1-libgit2.patch
+)
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_USE_SENTRY="$(usex telemetry)"
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-9999.ebuild b/sci-electronics/kicad/kicad-9999.ebuild
index 67d0c512c106..b006c9bf1a8d 100644
--- a/sci-electronics/kicad/kicad-9999.ebuild
+++ b/sci-electronics/kicad/kicad-9999.ebuild
@@ -1,11 +1,10 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-PYTHON_COMPAT=( python3_{8,9} )
-
-WX_GTK_VER="3.0-gtk3"
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
@@ -18,63 +17,73 @@ if [[ ${PV} == 9999 ]]; then
else
MY_PV="${PV/_rc/-rc}"
MY_P="${PN}-${MY_PV}"
- SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.gz -> ${P}.tar.gz"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
S="${WORKDIR}/${PN}-${MY_PV}"
if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~x86"
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
fi
-LICENSE="GPL-2+ GPL-3+ Boost-1.0"
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
SLOT="0"
-IUSE="doc examples +ngspice openmp +python occ +pcm"
+IUSE="doc examples nls openmp telemetry test"
-REQUIRED_USE="
- python? ( ${PYTHON_REQUIRED_USE} )
-"
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
COMMON_DEPEND="
- >=dev-libs/boost-1.61:=[context,nls]
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
media-libs/freeglut
media-libs/glew:0=
>=media-libs/glm-0.9.9.1
media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
>=x11-libs/cairo-1.8.8:=
>=x11-libs/pixman-0.30
- x11-libs/wxGTK:${WX_GTK_VER}[X,opengl]
- ngspice? (
- >sci-electronics/ngspice-27[shared]
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
)
- occ? (
- >=sci-libs/opencascade-6.8.0:=
- )
- python? (
- $(python_gen_cond_dep '
- >=dev-libs/boost-1.61:=[context,nls,python,${PYTHON_MULTI_USEDEP}]
- dev-python/wxpython:4.0[${PYTHON_MULTI_USEDEP}]
- ')
- ${PYTHON_DEPS}
+ test? (
+ media-gfx/cairosvg
)
"
-DEPEND="${COMMON_DEPEND}
- python? ( >=dev-lang/swig-3.0:0 )"
+DEPEND="${COMMON_DEPEND}"
RDEPEND="${COMMON_DEPEND}
sci-electronics/electronics-menu
"
-BDEPEND="doc? ( app-doc/doxygen )"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
BDEPEND+=" >=x11-misc/util-macros-1.18"
fi
-CHECKREQS_DISK_BUILD="800M"
-CAS_VERSION=7.5.3
+CHECKREQS_DISK_BUILD="1500M"
pkg_setup() {
- use python && python-single-r1_pkg_setup
- use openmp && tc-check-openmp
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
setup-wxwidgets
check-reqs_pkg_setup
}
@@ -91,36 +100,29 @@ src_configure() {
xdg_environment_reset
local mycmakeargs=(
- -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PF}"
- -DKICAD_HELP="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
- -DKICAD_SCRIPTING="$(usex python)"
- -DKICAD_SCRIPTING_MODULES="$(usex python)"
- -DKICAD_SCRIPTING_WXPYTHON="$(usex python)"
- -DKICAD_SCRIPTING_WXPYTHON_PHOENIX="$(usex python)"
- -DKICAD_SCRIPTING_PYTHON3="$(usex python)"
- -DKICAD_SCRIPTING_ACTION_MENU="$(usex python)"
- -DKICAD_SPICE="$(usex ngspice)"
- -DKICAD_PCM="$(usex pcm)"
-
- -DKICAD_USE_OCC="$(usex occ)"
- -DKICAD_INSTALL_DEMOS="$(usex examples)"
- -DCMAKE_SKIP_RPATH="ON"
- )
- use python && mycmakeargs+=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
-DPYTHON_DEST="$(python_get_sitedir)"
-DPYTHON_EXECUTABLE="${PYTHON}"
-DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
-DPYTHON_LIBRARY="$(python_get_library_path)"
- )
- local OCC_P=$(best_version sci-libs/opencascade)
- OCC_P=${OCC_P#sci-libs/}
- OCC_P=${OCC_P%-r*}
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
- use occ && mycmakeargs+=(
- -DOCC_INCLUDE_DIR="${CASROOT}"/include/${OCC_P}
- -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/${OCC_P}
+ -DKICAD_USE_SENTRY="$(usex telemetry)"
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
)
cmake_src_configure
@@ -129,13 +131,14 @@ src_configure() {
src_compile() {
cmake_src_compile
if use doc; then
- cmake_src_compile dev-docs doxygen-docs
+ cmake_src_compile doxygen-docs
fi
}
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
@@ -143,11 +146,13 @@ src_test() {
src_install() {
cmake_src_install
- use python && python_optimize
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
if use doc ; then
- dodoc uncrustify.cfg
- cd Documentation || die
- dodoc -r *.txt kicad_doxygen_logo.png notes_about_pcbnew_new_file_format.odt doxygen/. development/doxygen/.
+ cd doxygen || die
+ dodoc -r out/html/.
fi
}
@@ -156,7 +161,6 @@ pkg_postinst() {
optfeature "Component footprints library" sci-electronics/kicad-footprints
optfeature "3D models of components " sci-electronics/kicad-packages3d
optfeature "Project templates" sci-electronics/kicad-templates
- optfeature "Different languages for GUI" sci-electronics/kicad-i18n
optfeature "Extended documentation" app-doc/kicad-doc
optfeature "Creating 3D models of components" media-gfx/wings
diff --git a/sci-electronics/kicad/metadata.xml b/sci-electronics/kicad/metadata.xml
index 603e4e9f9662..07c75b4303e6 100644
--- a/sci-electronics/kicad/metadata.xml
+++ b/sci-electronics/kicad/metadata.xml
@@ -5,24 +5,20 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
</maintainer>
- <use>
- <flag name="github">Add github support into PCB editor</flag>
- <flag name="ngspice">Enable circuit simulation</flag>
- <flag name="occ">Enable viewing 3D rendering of designs via opencascade</flag>
- <flag name="oce">Enable viewing 3D rendering of designs via OCE</flag>
- <flag name="python">Enable python scripting support</flag>
- <flag name="pcm">Enable plugin content manager</flag>
- </use>
<longdescription>
Kicad is an open source (GPL) software for the creation of electronic
schematic diagrams and printed circuit board artwork.
</longdescription>
<upstream>
- <remote-id type="github">KiCad/kicad-i18n</remote-id>
+ <remote-id type="gitlab">kicad/code/kicad</remote-id>
<remote-id type="launchpad">kicad</remote-id>
</upstream>
</pkgmetadata>
diff --git a/sci-electronics/klayout/Manifest b/sci-electronics/klayout/Manifest
index 357e27945a0e..328e9c04e415 100644
--- a/sci-electronics/klayout/Manifest
+++ b/sci-electronics/klayout/Manifest
@@ -1,3 +1 @@
-DIST klayout-0.26.11.tar.gz 54455860 BLAKE2B f2e988ef1a68a0d8e612199d1a930a55c9175bebb8ca4cbbedef425d6367ddd3e56999502e0fb4c38043e2f7bf2c75c3acb18c498124c5850379bbfe283cb218 SHA512 c868830494a7181bbc68f543930aaf87a189b5063d212191305a3d2e119921175ff66aa2f87f9a89e8e9e5d8bf2c47ed32b5cd1fb43b85619ee35d8a3ddc063b
-DIST klayout-0.27.3.tar.gz 57274694 BLAKE2B 131791f7e978a5ffd344d1dab15d0d245d971855d34c995b4f86173f31853f71ec79d089cc2f80a10d318b108cb0f624c9610c9bf51f0bd88f2265e2d8871c97 SHA512 5f3a83cbbdc171acf200a90e4758942e7c3dd4ec66666576c6ecb041326e52a99222992e844d63f939650374a7493f542b1412ada714b6c0271103facb5acaa9
-DIST klayout-0.27.tar.gz 56917189 BLAKE2B 41a66939d6d7a058f9dc1772ba152ff1c311b146f7e2b37da52447cbd7eacc35a26a5fec1516384619ab0680a145f82a120ee7ee2739a5c8e9648836afa07d13 SHA512 475e2d905bc75a13c924f6cd1d08b566ecde92a28ed6a32b1995452e0446d4c75f7856ce3af4430a3b39a3876a3817923a51f3675c5afb33f4ec749acf15e0b4
+DIST klayout-0.28.9.tar.gz 88388706 BLAKE2B 0997bf15aae1dd1e8356fec91ae281511751db0ae1a4bfdfde38d5a04392351a7893206806a0eb7d842f8ee2fd7819b1a86257376d3ba5af11bb81b84836e571 SHA512 dcd882ef84161f2d28195f8e0239fd122f17f9954e5cc146f71bbb6816b9fb723eb3b0299e01fbb293ef7fb7f87c25efbfe09126349ee13f89de68c5bb5f7e93
diff --git a/sci-electronics/klayout/klayout-0.26.11.ebuild b/sci-electronics/klayout/klayout-0.26.11.ebuild
deleted file mode 100644
index 6eb043c6d1be..000000000000
--- a/sci-electronics/klayout/klayout-0.26.11.ebuild
+++ /dev/null
@@ -1,91 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-RUBY_OPTIONAL=no
-USE_RUBY="ruby26"
-# note: define maximally ONE implementation here
-
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit toolchain-funcs python-single-r1 ruby-ng
-
-if [[ ${PV} = 9999* ]]; then
- EGIT_REPO_URI="https://github.com/klayoutmatthias/${PN}.git"
- inherit git-r3
- EGIT_CHECKOUT_DIR=${WORKDIR}/all/${P}
-else
- SRC_URI="https://www.klayout.org/downloads/source/${P}.tar.gz"
- KEYWORDS="amd64 ~x86"
-fi
-
-DESCRIPTION="Viewer and editor for GDS and OASIS integrated circuit layouts"
-HOMEPAGE="https://www.klayout.de/"
-LICENSE="GPL-2"
-SLOT="0"
-IUSE=""
-REQUIRED_USE=${PYTHON_REQUIRED_USE}
-
-RDEPEND="
- dev-qt/designer:5
- dev-qt/qtcore:5
- dev-qt/qtgui:5
- dev-qt/qtmultimedia:5[widgets]
- dev-qt/qtnetwork:5[ssl]
- dev-qt/qtprintsupport:5
- dev-qt/qtsql:5
- dev-qt/qtsvg:5
- dev-qt/qttest:5
- dev-qt/qtwidgets:5
- dev-qt/qtxml:5
- dev-qt/qtxmlpatterns:5
- sys-libs/zlib
- ${PYTHON_DEPS}
- $(ruby_implementations_depend)
-"
-DEPEND="${RDEPEND}"
-
-pkg_setup() {
- python-single-r1_pkg_setup
- ruby-ng_pkg_setup
-}
-
-each_ruby_configure() {
- tc-export CC CXX AR LD RANLIB
- export CFLAGS CXXFLAGS
- ./build.sh \
- -expert \
- -dry-run \
- -qmake "/usr/$(get_libdir)/qt5/bin/qmake" \
- -ruby "${RUBY}" \
- -python "${PYTHON}" \
- -build . \
- -bin "${T}/bin" \
- -rpath "/usr/$(get_libdir)/klayout" \
- -option "${MAKEOPTS}" \
- -with-qtbinding \
- -without-64bit-coord \
- -qt5 || die "Configuration failed"
-}
-
-each_ruby_compile() {
- emake all
-}
-
-each_ruby_install() {
- emake install
-
- cd "${T}/bin" || die
-
- dodir "/usr/$(get_libdir)/klayout"
- mv lib* lay_plugins db_plugins "${ED}/usr/$(get_libdir)/klayout/" || die
-
- mkdir -p "${D}/$(python_get_sitedir)" || die
- mv pymod/* "${D}/$(python_get_sitedir)/" || die
- rmdir pymod || die
-
- dobin *
-
- python_optimize
-}
diff --git a/sci-electronics/klayout/klayout-0.27.ebuild b/sci-electronics/klayout/klayout-0.27.ebuild
deleted file mode 100644
index 6eb043c6d1be..000000000000
--- a/sci-electronics/klayout/klayout-0.27.ebuild
+++ /dev/null
@@ -1,91 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-RUBY_OPTIONAL=no
-USE_RUBY="ruby26"
-# note: define maximally ONE implementation here
-
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit toolchain-funcs python-single-r1 ruby-ng
-
-if [[ ${PV} = 9999* ]]; then
- EGIT_REPO_URI="https://github.com/klayoutmatthias/${PN}.git"
- inherit git-r3
- EGIT_CHECKOUT_DIR=${WORKDIR}/all/${P}
-else
- SRC_URI="https://www.klayout.org/downloads/source/${P}.tar.gz"
- KEYWORDS="amd64 ~x86"
-fi
-
-DESCRIPTION="Viewer and editor for GDS and OASIS integrated circuit layouts"
-HOMEPAGE="https://www.klayout.de/"
-LICENSE="GPL-2"
-SLOT="0"
-IUSE=""
-REQUIRED_USE=${PYTHON_REQUIRED_USE}
-
-RDEPEND="
- dev-qt/designer:5
- dev-qt/qtcore:5
- dev-qt/qtgui:5
- dev-qt/qtmultimedia:5[widgets]
- dev-qt/qtnetwork:5[ssl]
- dev-qt/qtprintsupport:5
- dev-qt/qtsql:5
- dev-qt/qtsvg:5
- dev-qt/qttest:5
- dev-qt/qtwidgets:5
- dev-qt/qtxml:5
- dev-qt/qtxmlpatterns:5
- sys-libs/zlib
- ${PYTHON_DEPS}
- $(ruby_implementations_depend)
-"
-DEPEND="${RDEPEND}"
-
-pkg_setup() {
- python-single-r1_pkg_setup
- ruby-ng_pkg_setup
-}
-
-each_ruby_configure() {
- tc-export CC CXX AR LD RANLIB
- export CFLAGS CXXFLAGS
- ./build.sh \
- -expert \
- -dry-run \
- -qmake "/usr/$(get_libdir)/qt5/bin/qmake" \
- -ruby "${RUBY}" \
- -python "${PYTHON}" \
- -build . \
- -bin "${T}/bin" \
- -rpath "/usr/$(get_libdir)/klayout" \
- -option "${MAKEOPTS}" \
- -with-qtbinding \
- -without-64bit-coord \
- -qt5 || die "Configuration failed"
-}
-
-each_ruby_compile() {
- emake all
-}
-
-each_ruby_install() {
- emake install
-
- cd "${T}/bin" || die
-
- dodir "/usr/$(get_libdir)/klayout"
- mv lib* lay_plugins db_plugins "${ED}/usr/$(get_libdir)/klayout/" || die
-
- mkdir -p "${D}/$(python_get_sitedir)" || die
- mv pymod/* "${D}/$(python_get_sitedir)/" || die
- rmdir pymod || die
-
- dobin *
-
- python_optimize
-}
diff --git a/sci-electronics/klayout/klayout-0.27.3.ebuild b/sci-electronics/klayout/klayout-0.28.9.ebuild
index fa9d57d2fc67..a67a35ae96a7 100644
--- a/sci-electronics/klayout/klayout-0.27.3.ebuild
+++ b/sci-electronics/klayout/klayout-0.28.9.ebuild
@@ -1,13 +1,13 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
RUBY_OPTIONAL=no
-USE_RUBY="ruby27"
+USE_RUBY="ruby31"
# note: define maximally ONE implementation here
-PYTHON_COMPAT=( python3_{7,8,9} )
+PYTHON_COMPAT=( python3_{9,10,11,12} )
inherit toolchain-funcs python-single-r1 ruby-ng
@@ -17,7 +17,7 @@ if [[ ${PV} = 9999* ]]; then
EGIT_CHECKOUT_DIR=${WORKDIR}/all/${P}
else
SRC_URI="https://www.klayout.org/downloads/source/${P}.tar.gz"
- KEYWORDS="~amd64 ~x86"
+ KEYWORDS="amd64 ~x86"
fi
DESCRIPTION="Viewer and editor for GDS and OASIS integrated circuit layouts"
diff --git a/sci-electronics/klayout/klayout-9999.ebuild b/sci-electronics/klayout/klayout-9999.ebuild
index fa9d57d2fc67..a09175915e24 100644
--- a/sci-electronics/klayout/klayout-9999.ebuild
+++ b/sci-electronics/klayout/klayout-9999.ebuild
@@ -1,13 +1,13 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
RUBY_OPTIONAL=no
-USE_RUBY="ruby27"
+USE_RUBY="ruby31"
# note: define maximally ONE implementation here
-PYTHON_COMPAT=( python3_{7,8,9} )
+PYTHON_COMPAT=( python3_{9,10,11,12} )
inherit toolchain-funcs python-single-r1 ruby-ng
diff --git a/sci-electronics/klayout/metadata.xml b/sci-electronics/klayout/metadata.xml
index d05a4868cfa5..515e8491e641 100644
--- a/sci-electronics/klayout/metadata.xml
+++ b/sci-electronics/klayout/metadata.xml
@@ -9,4 +9,7 @@
<email>sci-electronics@gentoo.org</email>
<name>Gentoo Electronics Project</name>
</maintainer>
+<upstream>
+ <remote-id type="github">KLayout/klayout</remote-id>
+</upstream>
</pkgmetadata>
diff --git a/sci-electronics/ktechlab/Manifest b/sci-electronics/ktechlab/Manifest
index e9667a6cfa18..4f29c2c1741b 100644
--- a/sci-electronics/ktechlab/Manifest
+++ b/sci-electronics/ktechlab/Manifest
@@ -1 +1 @@
-DIST ktechlab-0.50.0.tar.xz 2195752 BLAKE2B 213bee84364f8fc99ee6991ca465ce126f7fd16932a9e399947428955156500ce57e2c93170e16698b3d5e64f294ea208bff388c0d8e2ee6551bbe97e785233e SHA512 236be69f18ae21f1dffe1ae3d9e55665b6bdfd443bf6b3ae39c2e370923bae679fe8b1b09dac3d354a61278ca9f85113804a47a95a7f1de996b330f5a4b13a42
+DIST ktechlab-0.51.0.tar.xz 2280352 BLAKE2B 9f7004bf82a6f1c5c2b7a638692eb7ea778a3680ebf73db3caa1947a0ebd62249f1d15f9508852af5cf347907051d8f4757899692a8677a1b76bac12dad45cc7 SHA512 65c71bafb4ea90dbe34860ebefa8c05b393953d690309d61a53fa9f012e14a2544c03c2aeac2c79e700d449ed84d7d691beafdf398348fe02ad1562b326c0c40
diff --git a/sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch b/sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch
deleted file mode 100644
index 67ded56c9594..000000000000
--- a/sci-electronics/ktechlab/files/ktechlab-0.50.0-deps.patch
+++ /dev/null
@@ -1,317 +0,0 @@
-From 76764e7f7b64d36fc4f650516838662b406aa8ac Mon Sep 17 00:00:00 2001
-From: Pino Toscano <pino@kde.org>
-Date: Mon, 2 Nov 2020 18:53:22 +0100
-Subject: [PATCH 1/4] cmake: stop requiring the Solid framework
-
-It is not used.
-
-(cherry picked from commit aaf83474c8a145ad67ed03074dd4033f5a12c2ab)
----
- CMakeLists.txt | 1 -
- README | 2 +-
- 2 files changed, 1 insertion(+), 2 deletions(-)
-
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 15efb196..73e40695 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -41,7 +41,6 @@ find_package(KF5 REQUIRED COMPONENTS
- Parts
- TextEditor
- TextWidgets
-- Solid
- WidgetsAddons
- WindowSystem
- XmlGui
-diff --git a/README b/README
-index 940e2b3b..9f91740d 100644
---- a/README
-+++ b/README
-@@ -122,7 +122,7 @@ Required dependencies
- - Extra Cmake Modules (ECM)
- - KDE Frameworks 5 libraries -dev (at the time of writing:
- Config CoreAddons DocTools IconThemes I18n KDELibs4Support
-- KHtml KIO Parts TextEditor TextWidgets Solid WidgetsAddons
-+ KHtml KIO Parts TextEditor TextWidgets WidgetsAddons
- WindowSystem XmlGui)
-
- Optional dependencies
---
-2.29.2
-
-
-From 672dc6a1d4b8d1fd8f44b64779a43f0e4b65e946 Mon Sep 17 00:00:00 2001
-From: Pino Toscano <pino@kde.org>
-Date: Mon, 2 Nov 2020 13:10:45 +0100
-Subject: [PATCH 2/4] cmake: build test_ktechlab only if testing is enabled
-
-The test_ktechlab static library basically builds almost all the
-ktechlab sources, resulting in a "double build" in case testing is
-enabled. Considering that is used only by test binaries built only
-when testing is enabled, then limit its build accordingly.
-
-(cherry picked from commit f3a754ddcbc8a086ca48920bd33e96082cef699c)
----
- src/CMakeLists.txt | 26 +++++++++++++++-----------
- 1 file changed, 15 insertions(+), 11 deletions(-)
-
-diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
-index e84f737d..1a9af964 100644
---- a/src/CMakeLists.txt
-+++ b/src/CMakeLists.txt
-@@ -379,19 +379,23 @@ install(TARGETS ktechlab ${INSTALL_TARGETS_DEFAULT_ARGS})
-
- # for helping testing
-
--add_library(test_ktechlab STATIC ${ktechlab_SRCS})
-+if(BUILD_TESTING)
-
--target_link_libraries(test_ktechlab
-- KF5::TextEditor
-- KF5::IconThemes
-- KF5::Parts
-- KF5::KHtml
-- KF5::WidgetsAddons
-- KF5::WindowSystem
-+ add_library(test_ktechlab STATIC ${ktechlab_SRCS})
-
-- Qt5::Widgets
-- Qt5::PrintSupport
--)
-+ target_link_libraries(test_ktechlab
-+ KF5::TextEditor
-+ KF5::IconThemes
-+ KF5::Parts
-+ KF5::KHtml
-+ KF5::WidgetsAddons
-+ KF5::WindowSystem
-+
-+ Qt5::Widgets
-+ Qt5::PrintSupport
-+ )
-+
-+endif()
-
- ########### install files ###############
-
---
-2.29.2
-
-
-From 1d416ac6e3191eefca0f7e98487ae912d8bafe23 Mon Sep 17 00:00:00 2001
-From: Pino Toscano <pino@kde.org>
-Date: Wed, 4 Nov 2020 13:13:44 +0100
-Subject: [PATCH 3/4] cmake: explicitly require and link to KCompletion
-
-It is already used (because of KLineEdit), so make sure to explicitly
-use it.
-
-(cherry picked from commit 852f94f4e6f3cb8d4e4ec60c2c77dfd5ae4b6fc0)
----
- CMakeLists.txt | 1 +
- src/CMakeLists.txt | 2 ++
- 2 files changed, 3 insertions(+)
-
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 73e40695..45442519 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -31,6 +31,7 @@ find_package(Qt5 CONFIG REQUIRED COMPONENTS
- )
-
- find_package(KF5 REQUIRED COMPONENTS
-+ Completion
- Config
- CoreAddons
- DocTools
-diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
-index 1a9af964..ac6e487a 100644
---- a/src/CMakeLists.txt
-+++ b/src/CMakeLists.txt
-@@ -343,6 +343,7 @@ target_link_libraries( ktechlab
- #itemeditor math
- KF5::TextEditor
- KF5::Parts
-+ KF5::Completion
- KF5::ConfigCore
- KF5::ConfigGui
- KF5::CoreAddons
-@@ -390,6 +391,7 @@ if(BUILD_TESTING)
- KF5::KHtml
- KF5::WidgetsAddons
- KF5::WindowSystem
-+ KF5::Completion
-
- Qt5::Widgets
- Qt5::PrintSupport
---
-2.29.2
-
-
-From 56fb8dde63c19f6c4bc0decda879843e552fad85 Mon Sep 17 00:00:00 2001
-From: "Martin T. H. Sandsmark" <martin.sandsmark@kde.org>
-Date: Tue, 22 Dec 2020 11:46:54 +0100
-Subject: [PATCH 4/4] replace khtml with qtextbrowser
-
-Signed-off-by: Andreas Sturmlechner <asturm@gentoo.org>
----
- CMakeLists.txt | 1 -
- src/CMakeLists.txt | 2 --
- src/gui/contexthelp.cpp | 33 +++++++++++-------------------
- src/gui/contexthelp.h | 6 ++----
- tests/tests_app/CMakeLists.txt | 1 -
- tests/tests_compile/CMakeLists.txt | 1 -
- 6 files changed, 14 insertions(+), 30 deletions(-)
-
-diff --git a/CMakeLists.txt b/CMakeLists.txt
-index 45442519..5adaec45 100644
---- a/CMakeLists.txt
-+++ b/CMakeLists.txt
-@@ -37,7 +37,6 @@ find_package(KF5 REQUIRED COMPONENTS
- DocTools
- IconThemes
- I18n
-- KHtml
- KIO
- Parts
- TextEditor
-diff --git a/src/CMakeLists.txt b/src/CMakeLists.txt
-index ac6e487a..e09d9070 100644
---- a/src/CMakeLists.txt
-+++ b/src/CMakeLists.txt
-@@ -349,7 +349,6 @@ target_link_libraries( ktechlab
- KF5::CoreAddons
- KF5::IconThemes
- KF5::KIOCore
-- KF5::KHtml
- KF5::XmlGui
- KF5::WidgetsAddons
- KF5::WindowSystem
-@@ -388,7 +387,6 @@ if(BUILD_TESTING)
- KF5::TextEditor
- KF5::IconThemes
- KF5::Parts
-- KF5::KHtml
- KF5::WidgetsAddons
- KF5::WindowSystem
- KF5::Completion
-diff --git a/src/gui/contexthelp.cpp b/src/gui/contexthelp.cpp
-index 567c0c3d..cce84617 100644
---- a/src/gui/contexthelp.cpp
-+++ b/src/gui/contexthelp.cpp
-@@ -20,8 +20,6 @@
-
- #include <KParts/ReadWritePart>
- #include <KParts/BrowserExtension>
--#include <KHTMLView>
--#include <KHTMLPart>
- #include <KIO/Global>
- #include <KIconLoader>
- #include <KLocalizedString>
-@@ -43,6 +41,7 @@
- // #include <q3widgetstack.h>
- #include <QMimeData>
- #include <QStandardPaths>
-+#include <QTextBrowser>
-
- #include <cassert>
-
-@@ -81,15 +80,13 @@ ContextHelp::ContextHelp( KateMDI::ToolView * parent )
- m_pNameLabel->setFont( font );
- m_pNameLabel->setTextFormat( Qt::RichText );
-
-- m_pBrowser = new KHTMLPart( m_pWidgetStack->widget( 0 ) );
-- m_pBrowserView = m_pBrowser->view();
-+ m_pBrowserView = new QTextBrowser;
-+ m_pBrowserView->setOpenLinks(false);
-+
- m_pBrowserView->setFocusPolicy( Qt::NoFocus );
- m_pBrowserLayout->addWidget( m_pBrowserView );
-- connect(m_pBrowser->browserExtension(), &KParts::BrowserExtension::openUrlRequest,
-- this, &ContextHelp::openURL);
-
-- // Adjust appearance of browser
-- m_pBrowserView->setMarginWidth( 4 );
-+ connect(m_pBrowserView, &QTextBrowser::anchorClicked, this, &ContextHelp::openURL);
-
- m_pEditor = new RichTextEditor( m_pWidgetStack->widget( 1 ), "ContextHelpEditor" );
- m_pTopLayout->addWidget( m_pEditor );
-@@ -280,20 +277,14 @@ void ContextHelp::setContextHelp( QString name, QString help )
- addLinkTypeAppearances( & help );
- //END modify help string as appropriate
-
-- // HACK Adjust top spacing according to whether the item description uses <p>.
-- // This is because the help editor uses paragraphs, but old item help stored
-- // in the items just uses <br>
-- QFont f;
-- int fontPixelSize = QFontInfo( f ).pixelSize();
-- if ( help.contains( "<p>" ) )
-- m_pBrowserView->setMarginHeight( 3-fontPixelSize );
-- else
-- m_pBrowserView->setMarginHeight( 3 );
--
- m_pNameLabel->setText( name );
-- m_pBrowser->begin( QUrl::fromLocalFile( itemLibrary()->itemDescriptionsDirectory() ) );
-- m_pBrowser->write( help );
-- m_pBrowser->end();
-+ m_pBrowserView->setSearchPaths({itemLibrary()->itemDescriptionsDirectory()});
-+ m_pBrowserView->clear();
-+ if (help.startsWith("<html>")) {
-+ m_pBrowserView->insertHtml(help);
-+ } else {
-+ m_pBrowserView->insertPlainText(help);
-+ }
- }
-
-
-diff --git a/src/gui/contexthelp.h b/src/gui/contexthelp.h
-index fe992deb..7190ea81 100644
---- a/src/gui/contexthelp.h
-+++ b/src/gui/contexthelp.h
-@@ -20,8 +20,7 @@ class Item;
- class ContextHelp;
- class RichTextEditor;
-
--class KHTMLPart;
--class KHTMLView;
-+class QTextBRowser;
- class QUrl;
- class QLabel;
- class QTextBrowser;
-@@ -123,8 +122,7 @@ class ContextHelp : public QWidget, public Ui::ContextHelpWidget
- bool isEditChanged();
- QString m_currentLanguage;
- QString m_lastItemType;
-- KHTMLPart * m_pBrowser;
-- KHTMLView * m_pBrowserView;
-+ QTextBrowser *m_pBrowserView;
- RichTextEditor * m_pEditor;
-
- private slots:
-diff --git a/tests/tests_app/CMakeLists.txt b/tests/tests_app/CMakeLists.txt
-index b0a505d4..c93137a4 100644
---- a/tests/tests_app/CMakeLists.txt
-+++ b/tests/tests_app/CMakeLists.txt
-@@ -36,7 +36,6 @@ target_link_libraries( tests_app
- KF5::ConfigCore
- KF5::ConfigGui
- KF5::KIOCore
-- KF5::KHtml
- KF5::CoreAddons
- KF5::XmlGui
- KF5::TextEditor
-diff --git a/tests/tests_compile/CMakeLists.txt b/tests/tests_compile/CMakeLists.txt
-index 424692be..bec8b864 100644
---- a/tests/tests_compile/CMakeLists.txt
-+++ b/tests/tests_compile/CMakeLists.txt
-@@ -37,7 +37,6 @@ target_link_libraries( test_compile
- KF5::ConfigCore
- KF5::ConfigGui
- KF5::KIOCore
-- KF5::KHtml
- KF5::CoreAddons
- KF5::XmlGui
- KF5::TextEditor
---
-2.29.2
-
diff --git a/sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild b/sci-electronics/ktechlab/ktechlab-0.51.0.ebuild
index 47f9f7469ef3..f2ad43fcf17f 100644
--- a/sci-electronics/ktechlab/ktechlab-0.50.0-r1.ebuild
+++ b/sci-electronics/ktechlab/ktechlab-0.51.0.ebuild
@@ -1,14 +1,14 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
ECM_EXAMPLES="true"
ECM_HANDBOOK="forceoptional"
ECM_TEST="true"
KDE_ORG_CATEGORY="sdk"
-KFMIN=5.74.0
-QTMIN=5.15.1
+KFMIN=5.82.0
+QTMIN=5.15.5
inherit ecm kde.org
DESCRIPTION="IDE for microcontrollers and electronics"
@@ -24,6 +24,7 @@ DEPEND="
>=dev-qt/qtdbus-${QTMIN}:5
>=dev-qt/qtgui-${QTMIN}:5
>=dev-qt/qtprintsupport-${QTMIN}:5
+ >=dev-qt/qtserialport-${QTMIN}:5
>=dev-qt/qtwidgets-${QTMIN}:5
>=dev-qt/qtxml-${QTMIN}:5
>=kde-frameworks/kcompletion-${KFMIN}:5
@@ -43,5 +44,3 @@ DEPEND="
gpsim? ( dev-embedded/gpsim )
"
RDEPEND="${DEPEND}"
-
-PATCHES=( "${FILESDIR}"/${P}-deps.patch )
diff --git a/sci-electronics/labone/Manifest b/sci-electronics/labone/Manifest
index 0c19990b3df3..3eca86897a17 100644
--- a/sci-electronics/labone/Manifest
+++ b/sci-electronics/labone/Manifest
@@ -1 +1,2 @@
DIST LabOneLinux64-21.08.20515.tar.gz 399058267 BLAKE2B 624c4dc4a01edb69f9b36a435744d10b3638c91c7ac0c1e9765cf913e605fe32d1935fa131d650f38a5b713df916d92b985ac5f6489b031bba9b6ec8a1370d4a SHA512 f229a885ea91cc518c4819ad76a928829eb1699603ba48fb745d4a99faca116b100831c17a48243922912c68de27b7938387677ffa2e996a122f36c33d0a205c
+DIST LabOneLinux64-23.02.42414.tar.gz 509402101 BLAKE2B 3bd083830b6dd286ba58227fcfaaed9ec3f7c7ceae8c3eb6b92976bb6b13b9a55389a67da4c71897b4a38200b2e833b4f197e738fff17281c3d0f5c7b3f65226 SHA512 5b4dd91f37b2c3212e9c93e7492756e8534f23d85a12af33cae7ce8150fa182286f37e2d4f3ca7369cb13613b24146e1ba0bb5d889db37634e561b0f921be58a
diff --git a/sci-electronics/labone/labone-21.08.20515-r1.ebuild b/sci-electronics/labone/labone-21.08.20515-r2.ebuild
index 0c0c263143ff..679237f6487e 100644
--- a/sci-electronics/labone/labone-21.08.20515-r1.ebuild
+++ b/sci-electronics/labone/labone-21.08.20515-r2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -83,3 +83,13 @@ src_install() {
udev_dorules Installer/udev/55-zhinst.rules
}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+ udev_reload
+}
+
+pkg_postrm() {
+ xdg_pkg_postrm
+ udev_reload
+}
diff --git a/sci-electronics/labone/labone-23.02.42414.ebuild b/sci-electronics/labone/labone-23.02.42414.ebuild
new file mode 100644
index 000000000000..cecf87806ce5
--- /dev/null
+++ b/sci-electronics/labone/labone-23.02.42414.ebuild
@@ -0,0 +1,97 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit xdg desktop systemd udev
+
+DESCRIPTION="Platform independent instrument control for Zurich Instruments devices"
+HOMEPAGE="https://www.zhinst.com/labone"
+
+# Seriously...
+SRC_URI="https://pub-a579c9a7a5ee41a2ba57327584974c85.r2.dev/${PV}/LabOneLinux64-${PV}.tar.gz"
+
+LICENSE="zi-labone"
+SLOT="0"
+KEYWORDS="-* ~amd64"
+RESTRICT="mirror bindist"
+IUSE="minimal"
+
+QA_PREBUILT="*"
+
+RDEPEND=""
+
+S=${WORKDIR}/LabOneLinux64-${PV}
+
+src_install() {
+ local application_directory=/opt/zi
+ local installation_directory="${application_directory}/LabOne64-${PV}"
+
+ if ! use minimal ; then
+
+ # the applications
+
+ dodir ${installation_directory}
+ for dir in API DataServer Firmware Documentation WebServer ; do
+ mv "$dir" "${D}${installation_directory}/" || die
+ done
+
+ cp "release_notes_$(ver_cut 1-2).html" "${D}${installation_directory}/" || die
+
+ dosym ../..${installation_directory}/DataServer/ziServer /opt/bin/ziServer
+ dosym ../..${installation_directory}/DataServer/ziDataServer /opt/bin/ziDataServer
+
+ # the services
+
+ # LabOne comes with systemd support.
+
+ local service
+ for service in labone-data-server hf2-data-server ; do
+ sed -e 's:/usr/local/bin/:/opt/bin/:g' -i Installer/systemd/${service}.service || die
+ systemd_dounit Installer/systemd/${service}.service
+ done
+
+ # For OpenRC we need to do our own thing...
+
+ for service in labone-data-server hf2-data-server ; do
+ doinitd "${FILESDIR}/${service}"
+ doconfd "${FILESDIR}/${service}.conf"
+ done
+
+ echo "#!/bin/bash" > "${T}/startziWebServer" || die
+ echo "${installation_directory}/WebServer/ziWebServer -r ${installation_directory}/WebServer/html --ip 127.0.0.1 --server-port 8004 -a 1" '$@ &' >> "${T}/startziWebServer" || die
+ chmod 755 "${T}/startziWebServer" || die
+ exeinto /opt/bin
+ doexe "${T}/startziWebServer"
+ elog For security reasons the startziWebServer script listens on the localhost interface only.
+
+ newicon "${D}${installation_directory}/WebServer/html/images/favicons/firefox_app_128x128.png" zi-labone.png
+
+ make_desktop_entry /opt/bin/startziWebServer "ZI LabOne" zi-labone "Science;Physics;Engineering"
+
+ keepdir /var/log/labone
+ fowners nobody /var/log/labone
+ else
+
+ insinto "${installation_directory}/API/C/lib"
+ doins API/C/lib/*.so
+ insinto "${installation_directory}/API/C/include"
+ doins API/C/include/*.h
+
+ fi
+
+ dosym "../..${installation_directory}/API/C/include/ziAPI.h" "usr/include/ziAPI.h"
+ dosym "../..${installation_directory}/API/C/lib/libziAPI-linux64.so" "usr/$(get_libdir)/libziAPI-linux64.so"
+
+ udev_dorules Installer/udev/55-zhinst.rules
+}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+ udev_reload
+}
+
+pkg_postrm() {
+ xdg_pkg_postrm
+ udev_reload
+}
diff --git a/sci-electronics/librepcb/Manifest b/sci-electronics/librepcb/Manifest
index 61e8f60ff028..9105662fe2a4 100644
--- a/sci-electronics/librepcb/Manifest
+++ b/sci-electronics/librepcb/Manifest
@@ -1,2 +1,2 @@
-DIST librepcb-0.1.3-source.zip 12148919 BLAKE2B 2be3455c9be3e60a7cb314292e2a6ffe10d98f0c647d9c79f223ee5c5ef50675685d914889f772448dba699742d3bcd5b6636d489e630c8033912f3c9f223715 SHA512 28d45800bbbbcee8d30973c1a1e1e561c0fe2c65339eda4f6170779d921a9f5b2f5fb8c1cda00477e59976b88a3c368bb4985f31331bb16f761823642138c78b
-DIST librepcb-0.1.5-source.zip 13111207 BLAKE2B 3a8c5f8b310971e1a6bd5b1fa8eb8059cd1c571e9ab369bf80c946ccbd320c258d5e8c65bea3b5a5bc4d85a38cac8205d5bbfbaad6aebf0a031315b73e9b99f4 SHA512 ed8c8fc154a03082bcbfe414bdb934870d09cf137811036a3ce59d70e1ad0b582fea64c31037c84e76a939bf1d087caabb9aa349578b525bce882e853a969653
+DIST librepcb-0.1.7-source.zip 13112342 BLAKE2B 53b6dad94febee84b60df1d848936f44093698c9965d4b24815395ff564a5634ab84f38574e7a1b6891f2cdd99b5162eb3747bbfdde2dfc2d680cff76a4e1cc1 SHA512 285ad661b9c528b1aa05c9a0482034af26e9344eb08a119ffabbadf518957a9784ccb9582bcfabcf5bf8982c33b6286b39722c0d59072df983925b3949b3e6c5
+DIST librepcb-1.0.0-source.zip 14000096 BLAKE2B 5b78bb0bd32de78207a95916bc7a7ff85b58f3b4b67e6faf9180bae852ce11416ac3740c04eb30b57073a21eb24d8041136e1ef17f5614e3f7d340e7b813b03d SHA512 7bc056be37fd39d873f6a40cf04c529e95dfc2b69d1cc8246ccbba0932a67c0f017e939c206cf0656b4657cb5c99c10c7e19b9dc4969d54d0a80014029da9439
diff --git a/sci-electronics/librepcb/files/librepcb-0.1.5-quazip1.x.patch b/sci-electronics/librepcb/files/librepcb-0.1.5-quazip1.x.patch
deleted file mode 100644
index 4e77ff9435ab..000000000000
--- a/sci-electronics/librepcb/files/librepcb-0.1.5-quazip1.x.patch
+++ /dev/null
@@ -1,57 +0,0 @@
-From 7e01cb556ce5daee19b0270dded8eca9fcb1ea60 Mon Sep 17 00:00:00 2001
-From: Victor Kustov <ktrace@yandex.ru>
-Date: Tue, 25 May 2021 01:52:57 +0300
-Subject: [PATCH] Fix for quazip 1.x usage
-
----
- libs/librepcb/common/common.pro | 2 +-
- libs/librepcb/common/fileio/transactionalfilesystem.cpp | 6 +++---
- libs/librepcb/common/network/filedownload.cpp | 2 +-
- 3 files changed, 5 insertions(+), 5 deletions(-)
-
-diff --git a/libs/librepcb/common/common.pro b/libs/librepcb/common/common.pro
-index 6a6b623..d6ce077 100644
---- a/libs/librepcb/common/common.pro
-+++ b/libs/librepcb/common/common.pro
-@@ -333,7 +333,7 @@ FORMS += \
-
- # quazip
- contains(UNBUNDLE, quazip) {
-- PKGCONFIG += quazip
-+ PKGCONFIG += quazip1-qt5
- } else {
- INCLUDEPATH += ../../quazip
- }
-diff --git a/libs/librepcb/common/fileio/transactionalfilesystem.cpp b/libs/librepcb/common/fileio/transactionalfilesystem.cpp
-index c98c84e..7b4927b 100644
---- a/libs/librepcb/common/fileio/transactionalfilesystem.cpp
-+++ b/libs/librepcb/common/fileio/transactionalfilesystem.cpp
-@@ -27,9 +27,9 @@
- #include "sexpression.h"
-
- #ifdef SYSTEM_QUAZIP
--#include <quazip5/quazip.h>
--#include <quazip5/quazipdir.h>
--#include <quazip5/quazipfile.h>
-+#include <quazip.h>
-+#include <quazipdir.h>
-+#include <quazipfile.h>
- #else
- #include <quazip/quazip.h>
- #include <quazip/quazipdir.h>
-diff --git a/libs/librepcb/common/network/filedownload.cpp b/libs/librepcb/common/network/filedownload.cpp
-index 110fae3..718a137 100644
---- a/libs/librepcb/common/network/filedownload.cpp
-+++ b/libs/librepcb/common/network/filedownload.cpp
-@@ -27,7 +27,7 @@
- #include <QtCore>
-
- #ifdef SYSTEM_QUAZIP
--#include <quazip5/JlCompress.h>
-+#include <JlCompress.h>
- #else
- #include <quazip/JlCompress.h>
- #endif
---
-2.26.3
-
diff --git a/sci-electronics/librepcb/librepcb-0.1.3.ebuild b/sci-electronics/librepcb/librepcb-0.1.3.ebuild
deleted file mode 100644
index 610277aedbe6..000000000000
--- a/sci-electronics/librepcb/librepcb-0.1.3.ebuild
+++ /dev/null
@@ -1,54 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit qmake-utils xdg-utils
-
-DESCRIPTION="Free EDA software to develop printed circuit boards"
-HOMEPAGE="https://librepcb.org/"
-SRC_URI="https://download.librepcb.org/releases/${PV}/${P}-source.zip"
-
-LICENSE="GPL-3+"
-SLOT="0"
-KEYWORDS="~amd64"
-IUSE=""
-
-BDEPEND="
- app-arch/unzip
- dev-qt/linguist-tools:5"
-
-RDEPEND="
- dev-qt/qtcore:5
- dev-qt/qtconcurrent:5
- dev-qt/qtgui:5
- dev-qt/qtnetwork:5[ssl]
- dev-qt/qtopengl:5
- dev-qt/qtprintsupport:5
- dev-qt/qtsql:5
- dev-qt/qtwidgets:5
- dev-qt/qtxml:5
- sys-libs/zlib"
-
-DEPEND="${RDEPEND}
- dev-qt/qttest:5"
-
-src_configure() {
- eqmake5 -r PREFIX="/usr"
-}
-
-src_install() {
- emake INSTALL_ROOT="${D}" install
-}
-
-pkg_postinst() {
- xdg_desktop_database_update
- xdg_icon_cache_update
- xdg_mimeinfo_database_update
-}
-
-pkg_postrm() {
- xdg_desktop_database_update
- xdg_icon_cache_update
- xdg_mimeinfo_database_update
-}
diff --git a/sci-electronics/librepcb/librepcb-0.1.5.ebuild b/sci-electronics/librepcb/librepcb-0.1.5.ebuild
deleted file mode 100644
index 84229df8b492..000000000000
--- a/sci-electronics/librepcb/librepcb-0.1.5.ebuild
+++ /dev/null
@@ -1,55 +0,0 @@
-# Copyright 2009-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit qmake-utils virtualx xdg
-
-DESCRIPTION="Free EDA software to develop printed circuit boards"
-HOMEPAGE="https://librepcb.org/"
-SRC_URI="https://download.librepcb.org/releases/${PV}/${P}-source.zip"
-
-LICENSE="GPL-3+"
-SLOT="0"
-KEYWORDS="~amd64"
-
-BDEPEND="
- app-arch/unzip
- dev-qt/linguist-tools:5"
-
-RDEPEND="
- dev-libs/quazip:=
- dev-qt/qtcore:5
- dev-qt/qtconcurrent:5
- dev-qt/qtgui:5
- dev-qt/qtnetwork:5[ssl]
- dev-qt/qtopengl:5
- dev-qt/qtprintsupport:5
- dev-qt/qtsql:5
- dev-qt/qtsvg:5
- dev-qt/qtwidgets:5
- dev-qt/qtxml:5
- sys-libs/zlib"
-
-DEPEND="${RDEPEND}
- dev-qt/qttest:5"
-
-PATCHES=( "${FILESDIR}"/${P}-quazip1.x.patch )
-
-src_configure() {
- local pro_lst
- for pro_lst in $(find libs/librepcb/ -type f -name "*.pro" || die)
- do
- sed -e 's:target.path = $${PREFIX}/lib:target.path = $${PREFIX}/'$(get_libdir)':' -i ${pro_lst} || die "Can't fix ${pro_lst} file"
- done
- eqmake5 -r PREFIX="/usr" UNBUNDLE+="quazip"
-}
-
-src_install() {
- emake INSTALL_ROOT="${D}" install
-}
-
-src_test() {
- cd "${S}"/output
- virtx ./librepcb-unittests --gtest_filter=-SystemInfoTest.testGetUsername
-}
diff --git a/sci-electronics/librepcb/librepcb-0.1.7.ebuild b/sci-electronics/librepcb/librepcb-0.1.7.ebuild
new file mode 100644
index 000000000000..c2752d3b0f23
--- /dev/null
+++ b/sci-electronics/librepcb/librepcb-0.1.7.ebuild
@@ -0,0 +1,60 @@
+# Copyright 2009-2022 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake virtualx xdg
+
+DESCRIPTION="Free EDA software to develop printed circuit boards"
+HOMEPAGE="https://librepcb.org/"
+SRC_URI="https://download.librepcb.org/releases/${PV}/${P}-source.zip"
+
+LICENSE="GPL-3+"
+SLOT="0"
+KEYWORDS="~amd64"
+
+BDEPEND="
+ app-arch/unzip
+ dev-qt/linguist-tools:5"
+
+RDEPEND="
+ dev-cpp/muParser:=
+ dev-libs/quazip:=[qt5(+)]
+ dev-qt/qtcore:5
+ dev-qt/qtconcurrent:5
+ dev-qt/qtgui:5
+ dev-qt/qtnetwork:5[ssl]
+ dev-qt/qtopengl:5
+ dev-qt/qtprintsupport:5
+ dev-qt/qtsql:5
+ dev-qt/qtsvg:5
+ dev-qt/qtwidgets:5
+ dev-qt/qtxml:5
+ sys-libs/zlib"
+
+DEPEND="${RDEPEND}
+ dev-qt/qttest:5"
+
+src_configure() {
+ local mycmakeargs+=(
+ -DUNBUNDLE_QUAZIP=ON
+ -DUNBUNDLE_MUPARSER=ON )
+ cmake_src_configure
+}
+
+src_test() {
+ cd "${BUILD_DIR}"/tests/unittests || die
+ # https://github.com/LibrePCB/LibrePCB/issues/516
+ virtx ./librepcb-unittests --gtest_filter=-SystemInfoTest.testGetUsername:CategoryTreeModelTest.testSort:BoardPlaneFragmentsBuilderTest.testFragments:BoardGerberExportTest.test
+}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+
+ ewarn
+ ewarn "LibrePCB builds might not be exactly reproducible with e.g. -march={native,haswell,...}."
+ ewarn "This can cause minor issues, see for example:"
+ ewarn "https://github.com/LibrePCB/LibrePCB/issues/516"
+ ewarn "For a completely reproducible build use: -march=x86-64."
+ ewarn
+}
diff --git a/sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild b/sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild
new file mode 100644
index 000000000000..cdee44faf323
--- /dev/null
+++ b/sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild
@@ -0,0 +1,69 @@
+# Copyright 2009-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake virtualx xdg
+
+DESCRIPTION="Free EDA software to develop printed circuit boards"
+HOMEPAGE="https://librepcb.org/"
+SRC_URI="https://download.librepcb.org/releases/${PV}/${P}-source.zip"
+
+LICENSE="GPL-3+"
+SLOT="0"
+KEYWORDS="~amd64"
+
+IUSE="opencascade"
+
+BDEPEND="
+ app-arch/unzip
+ dev-qt/linguist-tools:5"
+
+RDEPEND="
+ dev-cpp/muParser:=
+ dev-libs/quazip:=[qt5(+)]
+ dev-qt/qtconcurrent:5
+ dev-qt/qtcore:5
+ dev-qt/qtgui:5
+ dev-qt/qtnetwork:5[ssl]
+ dev-qt/qtopengl:5
+ dev-qt/qtprintsupport:5
+ dev-qt/qtquickcontrols2:5
+ dev-qt/qtsql:5
+ dev-qt/qtsvg:5
+ dev-qt/qtwidgets:5
+ dev-qt/qtxml:5
+ opencascade? ( sci-libs/opencascade:= )
+ sys-libs/zlib
+ virtual/opengl"
+
+DEPEND="${RDEPEND}
+ dev-qt/qttest:5"
+
+S="${WORKDIR}/${PN}-${PV/_/-}"
+
+src_configure() {
+ local mycmakeargs+=(
+ -DUSE_OPENCASCADE=$(usex opencascade 1 0)
+ -DUNBUNDLE_QUAZIP=ON
+ -DUNBUNDLE_MUPARSER=ON )
+ cmake_src_configure
+}
+
+src_test() {
+ cd "${BUILD_DIR}"/tests/unittests || die
+ # https://github.com/LibrePCB/LibrePCB/issues/516
+ # virtx ./librepcb-unittests --gtest_filter=-SystemInfoTest.testGetUsername
+ virtx ./librepcb-unittests --gtest_filter=-SystemInfoTest.testGetUsername:CategoryTreeModelTest.testSort:BoardPlaneFragmentsBuilderTest.testFragments:BoardGerberExportTest.test
+}
+
+pkg_postinst() {
+ xdg_pkg_postinst
+
+ ewarn
+ ewarn "LibrePCB builds might not be exactly reproducible with e.g. -march={native,haswell,...}."
+ ewarn "This can cause minor issues, see for example:"
+ ewarn "https://github.com/LibrePCB/LibrePCB/issues/516"
+ ewarn "For a completely reproducible build use: -march=x86-64."
+ ewarn
+}
diff --git a/sci-electronics/librepcb/metadata.xml b/sci-electronics/librepcb/metadata.xml
index bef24d2c2337..de1b0cf0ff98 100644
--- a/sci-electronics/librepcb/metadata.xml
+++ b/sci-electronics/librepcb/metadata.xml
@@ -1,5 +1,27 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
- <!-- maintainer-needed -->
+ <maintainer type="project" proxied="proxy">
+ <email>sci-electronics@gentoo.org</email>
+ <description>Gentoo Electronics Project</description>
+ </maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>ktrace@yandex.ru</email>
+ <name>Victor V. Kustov</name>
+ </maintainer>
+ <longdescription lang="en">
+ LibrePCB is a free EDA software to develop printed circuit boards.
+ Cross-platform, multilingual (both application and library elements), intuitive, modern and easy-to-use graphical user interface.
+ - All-In-One: project management + library/schematic/board editors
+ - Very powerful library design with some innovative concepts
+ - Human-readable file formats for both libraries and projects
+ - Multi-PCB feature (different PCB variants of the same schematic)
+ - Automatic netlist synchronisation between schematic and board
+ </longdescription>
+ <use>
+ <flag name="opencascade">Build with <pkg>sci-libs/opencascade</pkg>the 3D features (e.g. reading/writing STEP files)</flag>
+ </use>
+ <upstream>
+ <remote-id type="github">LibrePCB/LibrePCB</remote-id>
+ </upstream>
</pkgmetadata>
diff --git a/sci-electronics/magic/Manifest b/sci-electronics/magic/Manifest
index 06e1a5b7843f..7c77e2332aac 100644
--- a/sci-electronics/magic/Manifest
+++ b/sci-electronics/magic/Manifest
@@ -1,4 +1,4 @@
-DIST 2002a.tar.gz 6601961 BLAKE2B e34bc281b3f1bc032ba14954b781c8b0055bb9125c57944a5b5f595f8b22feb455c60f3230cf04f06bc41aa807e909d900e3d3ab746076e2c99dcbbdf51a71df SHA512 cb8e30df77e58eb37f7ada775db8a8411612897b1cdbcb59b0c4bd814fa73c6cb10a5461e27e9eecb0fe452e194ee95fbb02b568b86848e501b9c37cc24de39e
-DIST magic-7.5.202.tgz 3692294 BLAKE2B 8c484e7771b388df8a5e9df574a522f81b5ae34bfaa0db28f444f31a0f5737094d73d2f5467796df74f9230fe684e9c96b08b11812b5627ee5a1bcc74893a021 SHA512 62be6e781ab51a4129a520b91a35ff5c280e7e9cb825e5d71e4012205dd0b777ca8c2355fb071d7d716977d477bddd44e45ca2810d3f97bb63dfe46c5ad1ba80
DIST magic-8.3.232.tgz 4093446 BLAKE2B 6b4b49c2b1f566b0173bead0e95e381a6632b049545dc3b26572f415ba0df3958bc5c3931b686718136185cf105e437fe70dc1f71a11707ad33afac80ddf8f2f SHA512 755b27b8f263d990ca86b3f043e1b8f8a2de75d72f1baf9c4de3a1d7cde28feecf093d8bf1497f2632327d09ce0ce0b17ccea46bdba01c5b53c52ea0f5cf5fdc
+DIST magic-8.3.309.tgz 4175194 BLAKE2B dc63e74abf1a0fee75b1b018f58f73f0ed4ba7acb244aa0f427af1d4051a3344892ee8514a5f99827bd10c8ff44eac907a70150855db48fb97d0e4d436273893 SHA512 1abfd843f3d123bf532672c5e8c58d2c0f50e29ab017c21e4895810163eec430ba07c3b0dd8c571e47f1ce3bacfd37aa4cc667e4cecb3d53b3b7dd1c8205d8c2
+DIST magic-8.3.365.tgz 4206213 BLAKE2B 2a50843c166f55471db13400c047cc5b32e762ad90cefb170c03874427ebce3452a6f13ff1524897672bbd7418d9ace2366d22fba9641310882f505d3463c19f SHA512 8ac3933ae74f5953dfbcfaaeaddb8fded36aa9fe2e371808c53edb96f758451b7d75fd6c47666ae0624de5dbab335f6a2990427e1135165360ddc5ed8a33954d
DIST magic-tech-mosis-2002a.tar.gz 6601961 BLAKE2B e34bc281b3f1bc032ba14954b781c8b0055bb9125c57944a5b5f595f8b22feb455c60f3230cf04f06bc41aa807e909d900e3d3ab746076e2c99dcbbdf51a71df SHA512 cb8e30df77e58eb37f7ada775db8a8411612897b1cdbcb59b0c4bd814fa73c6cb10a5461e27e9eecb0fe452e194ee95fbb02b568b86848e501b9c37cc24de39e
diff --git a/sci-electronics/magic/files/magic-7.5.202-include.patch b/sci-electronics/magic/files/magic-7.5.202-include.patch
deleted file mode 100644
index f1fc95a60b32..000000000000
--- a/sci-electronics/magic/files/magic-7.5.202-include.patch
+++ /dev/null
@@ -1,20 +0,0 @@
---- magic-7.5.202/textio/txInput.c
-+++ magic-7.5.202/textio/txInput.c
-@@ -21,6 +21,7 @@
- #endif /* not lint */
-
- #include <stdio.h>
-+#include <stdlib.h>
- #include <string.h>
- #include <sys/time.h>
- #include <sys/types.h>
---- magic-8.0.131/graphics/grX11su3.c
-+++ magic-8.0.131/graphics/grX11su3.c
-@@ -20,6 +20,7 @@
-
- #include <stdio.h>
- #include <string.h>
-+#include <math.h>
- #include <X11/Xlib.h>
-
- #include "utils/magic.h"
diff --git a/sci-electronics/magic/files/magic-7.5.202-install.patch b/sci-electronics/magic/files/magic-7.5.202-install.patch
deleted file mode 100644
index 6e28b950c510..000000000000
--- a/sci-electronics/magic/files/magic-7.5.202-install.patch
+++ /dev/null
@@ -1,15 +0,0 @@
---- magic-7.5.202/rules.mak
-+++ magic-7.5.202/rules.mak
-@@ -43,9 +43,9 @@
- ${RM} ${MODULE}
- ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} lib${MODULE}.o ${EXTRA_LIBS} -o ${MODULE} ${LIBS}
-
--${BINDIR}/${MODULE}${EXEEXT}: ${MODULE}${EXEEXT}
-- ${RM} ${BINDIR}/${MODULE}${EXEEXT}
-- ${CP} ${MODULE}${EXEEXT} ${BINDIR}
-+${DESTDIR}${BINDIR}/${MODULE}${EXEEXT}: ${MODULE}${EXEEXT}
-+ ${RM} ${DESTDIR}${BINDIR}/${MODULE}${EXEEXT}
-+ ${CP} ${MODULE}${EXEEXT} ${DESTDIR}${BINDIR}
-
- ../database/database.h: ../database/database.h.in
- @echo --- making header file database/database.h
diff --git a/sci-electronics/magic/files/magic-ldflags.patch b/sci-electronics/magic/files/magic-ldflags.patch
deleted file mode 100644
index 60d5891beee0..000000000000
--- a/sci-electronics/magic/files/magic-ldflags.patch
+++ /dev/null
@@ -1,40 +0,0 @@
-diff -r -u magic-7.5.202.orig/scripts/configure.in magic-7.5.202/scripts/configure.in
---- magic-7.5.202.orig/scripts/configure.in 2010-03-08 14:33:07.000000000 +0100
-+++ magic-7.5.202/scripts/configure.in 2010-07-02 22:15:50.814199319 +0200
-@@ -1350,7 +1350,6 @@
- if test "x${loader_run_path}" != "x" ; then
- LD_RUN_PATH="${LD_RUN_PATH} -Wl,-rpath,${loader_run_path}"
- fi
-- LDFLAGS=""
- EXTRA_LIB_SPECS="-ldl"
- ;;
-
-diff -r -u magic-7.5.202.orig/scripts/defs.mak.in magic-7.5.202/scripts/defs.mak.in
---- magic-7.5.202.orig/scripts/defs.mak.in 2010-03-08 14:33:07.000000000 +0100
-+++ magic-7.5.202/scripts/defs.mak.in 2010-07-02 22:15:50.814199319 +0200
-@@ -53,7 +53,7 @@
- M4 = @M4@
- RANLIB = @RANLIB@
- SHDLIB_EXT = @SHDLIB_EXT@
--LDDL_FLAGS = @LDDL_FLAGS@
-+LDDL_FLAGS = ${LDFLAGS} @LDDL_FLAGS@
- LD_RUN_PATH = @LD_RUN_PATH@
- LIB_SPECS = @LIB_SPECS@
- WISH_EXE = @WISH_EXE@
-diff -r -u magic-7.5.202.orig/tcltk/Makefile magic-7.5.202/tcltk/Makefile
---- magic-7.5.202.orig/tcltk/Makefile 2009-05-29 15:54:55.000000000 +0200
-+++ magic-7.5.202/tcltk/Makefile 2010-07-02 22:16:30.916202298 +0200
-@@ -41,11 +41,11 @@
- (cd $(DESTDIR)${TCLDIR}; chmod 0755 tkcon.tcl tkshell.tcl)
-
- magicexec: magicexec.c
-- ${CC} ${CFLAGS} -pg ${CPPFLAGS} ${DFLAGS} magicexec.c -o magicexec \
-+ ${CC} ${CFLAGS} -pg ${CPPFLAGS} ${DFLAGS} ${LDFLAGS} magicexec.c -o magicexec \
- ${LD_RUN_PATH} ${LIBS} ${LIB_SPECS}
-
- magicdnull: magicdnull.c
-- ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} magicdnull.c -o magicdnull \
-+ ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} ${LDFLAGS} magicdnull.c -o magicdnull \
- ${LD_RUN_PATH} ${LIBS} ${LIB_SPECS}
-
- magic.tcl: magic.tcl.in ${MAGICDIR}/defs.mak
diff --git a/sci-electronics/magic/magic-7.5.202-r1.ebuild b/sci-electronics/magic/magic-7.5.202-r1.ebuild
deleted file mode 100644
index d0de7f316712..000000000000
--- a/sci-electronics/magic/magic-7.5.202-r1.ebuild
+++ /dev/null
@@ -1,70 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=5
-
-inherit multilib epatch autotools
-
-DESCRIPTION="The VLSI design CAD tool"
-HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
-SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \
- ftp://ftp.mosis.edu/pub/sondeen/magic/new/beta/2002a.tar.gz"
-
-LICENSE="HPND GPL-2+"
-SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
-IUSE=""
-
-RDEPEND="sys-libs/ncurses:0=
- sys-libs/readline:0=
- dev-lang/tcl:0=
- dev-lang/tk:0=
- dev-tcltk/blt"
-DEPEND="${RDEPEND}
- app-shells/tcsh"
-
-src_prepare() {
- epatch "${FILESDIR}/${PN}-ldflags.patch"
- epatch "${FILESDIR}/${P}-install.patch" #422687
- epatch "${FILESDIR}/${P}-include.patch"
- cd scripts
- eautoreconf
- cd ..
- sed -i -e "s: -pg : :" tcltk/Makefile || die
-
- # required for >=autoconf-2.70 (bug #775422)
- local ac_aux_file
- for ac_aux_file in install-sh config.guess config.sub ; do
- ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
- done
-}
-
-src_configure() {
- # Short-circuit top-level configure script to retain CFLAGS
- # fix tcl/tk detection #447868
- cd scripts
- CPP="cpp" econf --with-tcllibs="/usr/$(get_libdir)" --with-tklibs="/usr/$(get_libdir)"
-}
-
-src_compile() {
- emake -j1
-}
-
-src_install() {
- emake -j1 DESTDIR="${D}" install
-
- dodoc README README.Tcl TODO
-
- # Move docs from libdir to docdir and add symlink.
- mv "${D}/usr/$(get_libdir)/magic/doc"/* "${D}/usr/share/doc/${PF}/" || die
- rmdir "${D}/usr/$(get_libdir)/magic/doc" || die
- dosym "/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
-
- # Move tutorial from libdir to datadir and add symlink.
- dodir /usr/share/${PN}
- mv "${D}/usr/$(get_libdir)/magic/tutorial" "${D}/usr/share/${PN}/" || die
- dosym "/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
-
- # Install latest MOSIS tech files
- cp -pPR "${WORKDIR}"/2002a "${D}"/usr/$(get_libdir)/magic/sys/current || die
-}
diff --git a/sci-electronics/magic/magic-8.3.232.ebuild b/sci-electronics/magic/magic-8.3.232-r1.ebuild
index c21980f211ab..0dff7656a79f 100644
--- a/sci-electronics/magic/magic-8.3.232.ebuild
+++ b/sci-electronics/magic/magic-8.3.232-r1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -13,7 +13,7 @@ SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
LICENSE="HPND GPL-2+"
SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
+KEYWORDS="amd64 ~ppc ~x86"
IUSE="cairo debug opengl"
RDEPEND="sys-libs/ncurses:0=
@@ -21,7 +21,7 @@ RDEPEND="sys-libs/ncurses:0=
dev-lang/tcl:0=
dev-lang/tk:0=
dev-tcltk/blt
- cairo? ( x11-libs/cairo[opengl?] )
+ cairo? ( x11-libs/cairo )
opengl? (
virtual/glu
virtual/opengl
diff --git a/sci-electronics/magic/magic-8.3.309-r2.ebuild b/sci-electronics/magic/magic-8.3.309-r2.ebuild
new file mode 100644
index 000000000000..b615a42ae11d
--- /dev/null
+++ b/sci-electronics/magic/magic-8.3.309-r2.ebuild
@@ -0,0 +1,92 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{9..11} )
+
+inherit python-any-r1
+
+TECH_MOSIS_VER="2002a"
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
+ http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \
+ -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="cairo debug opengl"
+
+RDEPEND="
+ sys-libs/ncurses:0=
+ sys-libs/readline:0=
+ sys-libs/zlib:=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt
+ cairo? ( x11-libs/cairo )
+ opengl? (
+ virtual/glu
+ virtual/opengl
+ )
+"
+DEPEND="${RDEPEND}"
+BDEPEND="app-shells/tcsh
+ ${PYTHON_DEPS}"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.3.232-libdir.patch
+)
+
+DOCS=( README.md README.Tcl TODO )
+
+src_prepare() {
+ default
+
+ pushd scripts &>/dev/null || die
+ mv configure.in configure.ac || die
+ popd &>/dev/null || die
+
+ # required for >=autoconf-2.70 (bug #775422)
+ local ac_aux_file
+ for ac_aux_file in install-sh config.guess config.sub ; do
+ ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
+ done
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # Fix tcl/tk detection, bug #447868
+ cd scripts || die
+ econf \
+ --with-tcl="/usr/$(get_libdir)" \
+ --with-tk="/usr/$(get_libdir)" \
+ --with-tcllibs="/usr/$(get_libdir)" \
+ --with-tklibs="/usr/$(get_libdir)" \
+ --disable-modular \
+ $(use_enable debug memdebug) \
+ $(use_enable cairo cairo-offscreen) \
+ $(use_with opengl)
+}
+
+src_install() {
+ # Make does not always install required .tech files with parallel make install
+ emake DESTDIR="${ED}" install -j1
+ einstalldocs
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die
+ rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die
+ dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die
+ dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/magic/magic-8.3.309-r3.ebuild b/sci-electronics/magic/magic-8.3.309-r3.ebuild
new file mode 100644
index 000000000000..1b40c505933c
--- /dev/null
+++ b/sci-electronics/magic/magic-8.3.309-r3.ebuild
@@ -0,0 +1,96 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{9..11} )
+
+inherit python-any-r1
+
+TECH_MOSIS_VER="2002a"
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
+ http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \
+ -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="cairo debug opengl"
+
+RDEPEND="
+ sys-libs/ncurses:0=
+ sys-libs/readline:0=
+ sys-libs/zlib:=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt
+ cairo? ( x11-libs/cairo )
+ opengl? (
+ virtual/glu
+ virtual/opengl
+ )
+"
+DEPEND="${RDEPEND}"
+BDEPEND="app-shells/tcsh
+ ${PYTHON_DEPS}"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.3.232-libdir.patch
+)
+
+DOCS=( README.md README.Tcl TODO )
+
+src_prepare() {
+ default
+
+ # Don't embed MAGIC_COMMIT
+ sed -i 's/git rev-parse HEAD//' scripts/defs.mak.in || die
+
+ pushd scripts &>/dev/null || die
+ mv configure.in configure.ac || die
+ popd &>/dev/null || die
+
+ # required for >=autoconf-2.70 (bug #775422)
+ local ac_aux_file
+ for ac_aux_file in install-sh config.guess config.sub ; do
+ ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
+ done
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # Fix tcl/tk detection, bug #447868
+ cd scripts || die
+ econf \
+ --with-tcl="/usr/$(get_libdir)" \
+ --with-tk="/usr/$(get_libdir)" \
+ --with-tcllibs="/usr/$(get_libdir)" \
+ --with-tklibs="/usr/$(get_libdir)" \
+ --disable-modular \
+ $(use_enable debug memdebug) \
+ $(use_enable cairo cairo-offscreen) \
+ $(use_with cairo) \
+ $(use_with opengl)
+}
+
+src_install() {
+ # Make does not always install required .tech files with parallel make install
+ emake DESTDIR="${ED}" install -j1
+ einstalldocs
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die
+ rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die
+ dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die
+ dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/magic/magic-8.3.365.ebuild b/sci-electronics/magic/magic-8.3.365.ebuild
new file mode 100644
index 000000000000..295ffbfb144e
--- /dev/null
+++ b/sci-electronics/magic/magic-8.3.365.ebuild
@@ -0,0 +1,98 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{9..11} )
+
+inherit python-any-r1
+
+TECH_MOSIS_VER="2002a"
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz
+ http://opencircuitdesign.com/~tim/programs/${PN}/archive/${TECH_MOSIS_VER}.tar.gz \
+ -> ${PN}-tech-mosis-${TECH_MOSIS_VER}.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="amd64 ~ppc ~x86"
+IUSE="cairo debug opengl"
+
+# https://bugs.gentoo.org/887691
+RDEPEND="
+ sys-libs/ncurses:0=
+ sys-libs/readline:0=
+ sys-libs/zlib:=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt
+ cairo? ( x11-libs/cairo )
+ opengl? (
+ virtual/glu
+ virtual/opengl
+ )
+ !net-misc/ipsorcery
+"
+DEPEND="${RDEPEND}"
+BDEPEND="app-shells/tcsh
+ ${PYTHON_DEPS}"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.3.232-libdir.patch
+)
+
+DOCS=( README.md README.Tcl TODO )
+
+src_prepare() {
+ default
+
+ # Don't embed MAGIC_COMMIT
+ sed -i 's/git rev-parse HEAD//' scripts/defs.mak.in || die
+
+ pushd scripts &>/dev/null || die
+ mv configure.in configure.ac || die
+ popd &>/dev/null || die
+
+ # required for >=autoconf-2.70 (bug #775422)
+ local ac_aux_file
+ for ac_aux_file in install-sh config.guess config.sub ; do
+ ln -s scripts/${ac_aux_file} ${ac_aux_file} || die
+ done
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # Fix tcl/tk detection, bug #447868
+ cd scripts || die
+ econf \
+ --with-tcl="/usr/$(get_libdir)" \
+ --with-tk="/usr/$(get_libdir)" \
+ --with-tcllibs="/usr/$(get_libdir)" \
+ --with-tklibs="/usr/$(get_libdir)" \
+ --disable-modular \
+ $(use_enable debug memdebug) \
+ $(use_enable cairo cairo-offscreen) \
+ $(use_with cairo) \
+ $(use_with opengl)
+}
+
+src_install() {
+ # Make does not always install required .tech files with parallel make install
+ emake DESTDIR="${ED}" install -j1
+ einstalldocs
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${ED}/usr/$(get_libdir)/magic/doc"/* "${ED}/usr/share/doc/${PF}/" || die
+ rmdir "${ED}/usr/$(get_libdir)/magic/doc" || die
+ dosym -r "${EPREFIX}/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${ED}/usr/$(get_libdir)/magic/tutorial" "${ED}/usr/share/${PN}/" || die
+ dosym -r "${EPREFIX}/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/${TECH_MOSIS_VER} "${ED}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/ngspice/Manifest b/sci-electronics/ngspice/Manifest
index a9fc63fa30c1..f93ca63dcb21 100644
--- a/sci-electronics/ngspice/Manifest
+++ b/sci-electronics/ngspice/Manifest
@@ -1,6 +1,4 @@
-DIST ngspice-31-manual.pdf 2149244 BLAKE2B 155f7299cbb6d7c7953d8488e11f0bffc3c18097f02194c491174a9af387cda9e87cb79b13a37f402616386e7e82230eb4d41909fe37d900792e48f2f49c71dd SHA512 3322df92791718979a275f2640f82c3417f394a76d27ebf06b46f29a40a1ee3ef57c65a75a7ba67e697b55bd435f81e9ee61c78532f991a2dd6844b4f325eb55
-DIST ngspice-31.tar.gz 7250057 BLAKE2B dabc8e1084eba957d6dad06e74f878b51e97dc8bc49b04ee612a6f9eaadde7302450c9ab93e943c4af42197b6bbdca7cae534464ccd2fcd4869b8a48b6f3140d SHA512 448024f398d267911d5d2a48da03eb0d87ba1133ce39d5132bd318dde8ad359d19c7f85f6b4ec88c08b081c9140f7b931334d84173019a22aa2ac98482bd7d5d
-DIST ngspice-34-manual.pdf 2311221 BLAKE2B e8863bab8173980a825970b90b685d3d5a59768a2110d00dc3fb3dbd504cd94390b13bf3ac8821ca22561cb390c0d7331d8eaa8e47020592b3526895f6d398d0 SHA512 b53f9161b793223015a307ba607add4e540ad22f252c2f6e3c6deedfc3fde173ec290bbc4939d4e419321cad6a68218f6a9c9b4c7620ee01da86eabfc22e5b7e
-DIST ngspice-34.tar.gz 7800546 BLAKE2B a07a7266225b8c4e478e3240718aa1fce9a77003d58477a30a6178368e7826f288007f9111797ca1c426486911d4bedd847bdf0daa69232e59333304468a4fc3 SHA512 5e90727f3f6b8675b83f71e1961d33cd498081a7f3bea5d081521f12ecb3979775159f083f84a5856233529505262c399f75d305758af51894a1245603476cf8
-DIST ngspice-35-manual.pdf 2347261 BLAKE2B 617462615525e5335f5795e625578324d2b173a8d69438e43c4007177e7ca0fdf8d37b1553a4c836a352523c310bbf23ef492a01ac0a7541ca8ac0794b588a66 SHA512 df3239c4798746534d12a489d80056d45d9f3cda60336aaeb2dd541526340715a180780b0e2ced1857e9c5cbe716c4b3f0c496598f8a3e8aa36a8cd1b6a022c7
-DIST ngspice-35.tar.gz 8050668 BLAKE2B d67475b55e1e14974a78451175a08ec91be24708030e0da8fa6811b7df880c8082741ec08d92c314c53480271cc074e35ad0eca27a3b7601e33fb240c834e530 SHA512 2f9b0f951e3ca8d52692beadb895b352311f67b8760f99d0e2f4718fce4b497dd68e2b933029eeacb4ed57551e959bc6e3747e64feb4722a4f841e734f5a664b
+DIST ngspice-36-manual.pdf 2397103 BLAKE2B 09dbfe4101b8e1752509caa37ba3a323da7d7391488c3245b3f614114d1666ee32ea1391ad4f39772ed950e270f028b7513115e0c13fde052bfdb5674f021b3b SHA512 bd31f99f7ec0acd2bdd24f008e22cb953bba98fd5cc1164ded0bdde3397bfffa0b0cae25dfeae6cf0e1dadd23c23faa5588010069b62392438296451347a5134
+DIST ngspice-36.tar.gz 8450071 BLAKE2B 51fa2c5998e96a05deb3764f56659ed0970d6362fcbec7367b04a5fbe76fc7dadb08e0d36b660b0e1f8e525079bedf71305877ab2de8e2ab2773c891808fb5a6 SHA512 d862097f465e92986e8d6644374d43249eebee0fb6d79b1404dbb7c11d14a058dfedd4bdb61baf5aa1ffd1d2e71167440db73eb417d4b1249a0a7b83bd39efc3
+DIST ngspice-40-manual.pdf 2462604 BLAKE2B a0d680cd76f8acb1be18e94953d70784aaf79e1bcc4bc3995a1221d81e27c13e577d182e7cbacb8430a068db7d86681f22ec4f3537b5704faacb8e982588617f SHA512 1a5c7828aa9a4554052fb01145641415d8a4cbd50db9885d5d937e1b6f50c7c541dfeeabf2efd6ea862036b4d74ce8265bdac1cfad0fc635f28651a0d397bfc5
+DIST ngspice-40.tar.gz 9431981 BLAKE2B e45d5d7a9e8d9d3fdae4eb076090a588680055a74cc24ba9ca3d93a7c42b95ee29073101d5791f8069cb27b3049aa1a451476f1df6460477fb156336602678fd SHA512 dab422cc8677e6f4bb92e06be0ce695a53d3e9abbe533c7bb91540d55d2a13514b0b166c8ccafcc17699799a846a35e260dddc400664c6e1137e1b18504e9954
diff --git a/sci-electronics/ngspice/ngspice-31-r1.ebuild b/sci-electronics/ngspice/ngspice-31-r1.ebuild
deleted file mode 100644
index 7195c9e60379..000000000000
--- a/sci-electronics/ngspice/ngspice-31-r1.ebuild
+++ /dev/null
@@ -1,197 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit autotools multibuild toolchain-funcs virtualx
-
-DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
-SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz
- doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )"
-HOMEPAGE="http://ngspice.sourceforge.net"
-LICENSE="BSD GPL-2"
-
-SLOT="0"
-IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl"
-RESTRICT="!test? ( test )"
-KEYWORDS="~amd64 ~arm64 ~ppc ~sparc ~x86 ~x64-macos"
-
-DEPEND="sys-libs/ncurses:0=
- X? ( x11-libs/libXaw
- x11-libs/libXt
- x11-libs/libX11 )
- fftw? ( sci-libs/fftw:3.0 )
- readline? ( sys-libs/readline:0= )
- tcl? ( dev-lang/tcl:0
- dev-tcltk/blt )"
-RDEPEND="${DEPEND}
- X? ( sci-visualization/xgraph )"
-
-DOCS=(
- ANALYSES
- AUTHORS
- BUGS
- ChangeLog
- DEVICES
- FAQ
- NEWS
- README
- README.vdmos
- Stuarts_Poly_Notes
-)
-
-pkg_setup() {
- MULTIBUILD_VARIANTS=( "binaries" )
- use shared && MULTIBUILD_VARIANTS+=( "shared" )
- use tcl && MULTIBUILD_VARIANTS+=( "tcl" )
- use openmp && tc-check-openmp
-}
-
-src_prepare() {
- default
-
- if use tcl; then
- if use examples; then
- find examples/tclspice -type f -iname \*tcl -or -iname \*.sh |
- while read s
- do
- sed -i -e 's@../../../src/.libs/libspice.so@libspice.so@g' \
- -e 's@package require BLT@package require Tk\npackage require BLT@g' \
- -e "s@spice::codemodel \(.*\)/\(.*\).cm@spice::codemodel /usr/$(get_libdir)/ngspice/\2.cm@g" \
- "${s}" || die "sed failed"
- done
- fi
- fi
-
- eautoreconf
- multibuild_copy_sources
-}
-
-src_configure() {
- multibuild_foreach_variant ngspice_configure
-}
-
-ngspice_configure() {
- local myeconfargs
-
- pushd "${BUILD_DIR}" &>/dev/null || die
-
- if use debug; then
- myeconfargs=(
- --enable-debug
- --enable-ftedebug
- --enable-cpdebug
- --enable-sensdebug
- --enable-asdebug
- --enable-stepdebug
- --enable-pzdebug
- )
- else
- myeconfargs=(
- --disable-debug
- --disable-ftedebug
- --disable-cpdebug
- --disable-sensdebug
- --disable-asdebug
- --disable-stepdebug
- --disable-pzdebug
- )
- fi
-
- # As of December 2017, these do not compile
- myeconfargs+=(
- --disable-blktmsdebug
- --disable-smltmsdebug
- )
-
- myeconfargs+=(
- --enable-xspice
- --enable-cider
- --disable-rpath
- $(use_enable openmp)
- $(use_with fftw fftw3)
- $(use_with readline)
- )
-
- if [[ "${MULTIBUILD_VARIANT}" == "shared" ]]; then
- myeconfargs+=( --with-ngshared )
- elif [[ "${MULTIBUILD_VARIANT}" == "tcl" ]]; then
- myeconfargs+=( --with-tcl="${EPREFIX}/usr/$(get_libdir)" )
- else
- myeconfargs+=(
- $(use_enable deprecated oldapps)
- $(use_with X x)
- )
- fi
-
- econf "${myeconfargs[@]}"
-
- popd &>/dev/null || die
-}
-
-src_compile() {
- multibuild_foreach_variant ngspice_compile
-}
-
-ngspice_compile() {
- pushd "${BUILD_DIR}" &>/dev/null || die
- default
- popd &>/dev/null || die
-}
-
-src_install() {
- multibuild_foreach_variant ngspice_install
-
- # merge the installations of all variants
- local v
- for v in "${MULTIBUILD_VARIANTS[@]}" ; do
- cp -a "${ED}/tmp/${v}"/* "${ED}" || die "Failed to combine multibuild installations"
- done
- rm -rf "${ED}/tmp" || die
-
- use tcl && DOCS+=( README.tcl )
- use shared && DOCS+=( README.shared-xspice )
- use doc && DOCS+=( "${DISTDIR}"/${P}-manual.pdf )
-
- default
-
- if use examples; then
- if ! use tcl; then
- rm -rf examples/tclspice || die
- fi
-
- insinto /usr/share/${PN}
- doins -r examples
- fi
-}
-
-ngspice_install() {
- pushd "${BUILD_DIR}" &>/dev/null || die
-
- emake DESTDIR="${ED}/tmp/${MULTIBUILD_VARIANT}" install
-
- # Strip shared-library and Tcl-module builds to the bare minimum;
- # all the support files will have been handled by the 'binaries' build.
- if [[ "${MULTIBUILD_VARIANT}" != "binaries" ]]; then
- rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/bin{,.debug} || die
- rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/share || die
- rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/$(get_libdir)/*.la || die
- rm -rf "${ED}/tmp/${MULTIBUILD_VARIANT}"/usr/$(get_libdir)/ngspice/*.cm{,.debug} || die
- fi
-
- popd &>/dev/null || die
-}
-
-src_test() {
- if ! use debug; then
- # tests can be only executed for the binaries variant
- pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die
- virtx default
- popd &>/dev/null || die
- else
- # https://sourceforge.net/p/ngspice/bugs/353/
- ewarn
- ewarn "Skipping tests because they are known to fail in debug mode"
- ewarn
- fi
-}
diff --git a/sci-electronics/ngspice/ngspice-35.ebuild b/sci-electronics/ngspice/ngspice-36.ebuild
index 17b7d8e33554..0a20c0490177 100644
--- a/sci-electronics/ngspice/ngspice-35.ebuild
+++ b/sci-electronics/ngspice/ngspice-36.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -13,7 +13,7 @@ LICENSE="BSD GPL-2"
SLOT="0"
IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl"
-KEYWORDS="~amd64 ~arm64 ~ppc ~sparc ~x86 ~x64-macos"
+KEYWORDS="amd64 ~arm64 ~ppc ~riscv ~sparc ~x86 ~x64-macos"
RESTRICT="!test? ( test )"
@@ -40,11 +40,16 @@ DOCS=(
Stuarts_Poly_Notes
)
+pkg_pretend() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+}
+
pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
MULTIBUILD_VARIANTS=( "binaries" )
use shared && MULTIBUILD_VARIANTS+=( "shared" )
use tcl && MULTIBUILD_VARIANTS+=( "tcl" )
- use openmp && tc-check-openmp
}
src_prepare() {
diff --git a/sci-electronics/ngspice/ngspice-34.ebuild b/sci-electronics/ngspice/ngspice-40.ebuild
index 9f70865e81ca..edc0fffedaa4 100644
--- a/sci-electronics/ngspice/ngspice-34.ebuild
+++ b/sci-electronics/ngspice/ngspice-40.ebuild
@@ -1,32 +1,38 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-inherit autotools multibuild toolchain-funcs virtualx
+inherit autotools flag-o-matic multibuild toolchain-funcs virtualx
DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
-SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz
- doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )"
HOMEPAGE="http://ngspice.sourceforge.net"
-LICENSE="BSD GPL-2"
+SRC_URI="
+ mirror://sourceforge/ngspice/${P}.tar.gz
+ doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )
+"
+LICENSE="BSD GPL-2 MPL-2.0"
SLOT="0"
IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl"
-KEYWORDS="~amd64 ~arm64 ~ppc ~sparc ~x86 ~x64-macos"
-
+KEYWORDS="amd64 ~arm64 ~ppc ~riscv ~sparc ~x86 ~x64-macos"
RESTRICT="!test? ( test )"
-DEPEND="sys-libs/ncurses:0=
- X? ( x11-libs/libXaw
+DEPEND="
+ sys-libs/ncurses:=
+ X? (
+ x11-libs/libXaw
x11-libs/libXt
- x11-libs/libX11 )
- fftw? ( sci-libs/fftw:3.0 )
- readline? ( sys-libs/readline:0= )
- tcl? ( dev-lang/tcl:0
- dev-tcltk/blt )"
-RDEPEND="${DEPEND}
- X? ( sci-visualization/xgraph )"
+ x11-libs/libX11
+ )
+ fftw? ( sci-libs/fftw:3.0= )
+ readline? ( sys-libs/readline:= )
+ tcl? (
+ dev-lang/tcl:=
+ dev-tcltk/blt
+ )
+"
+RDEPEND="${DEPEND}"
DOCS=(
ANALYSES
@@ -41,11 +47,16 @@ DOCS=(
Stuarts_Poly_Notes
)
+pkg_pretend() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+}
+
pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
MULTIBUILD_VARIANTS=( "binaries" )
use shared && MULTIBUILD_VARIANTS+=( "shared" )
use tcl && MULTIBUILD_VARIANTS+=( "tcl" )
- use openmp && tc-check-openmp
}
src_prepare() {
@@ -69,6 +80,11 @@ src_prepare() {
}
src_configure() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/862513
+ # https://sourceforge.net/p/ngspice/bugs/665/
+ filter-lto
+
multibuild_foreach_variant ngspice_configure
}
@@ -140,6 +156,21 @@ ngspice_compile() {
popd &>/dev/null || die
}
+src_test() {
+ if ! use debug; then
+ # tests can be only executed for the binaries variant
+ pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die
+ echo "set ngbehavior=mc" > "${HOME}"/.spiceinit || die "Failed to configure ${PN} for running the test suite"
+ virtx default
+ popd &>/dev/null || die
+ else
+ # https://sourceforge.net/p/ngspice/bugs/353/
+ ewarn
+ ewarn "Skipping tests because they are known to fail in debug mode"
+ ewarn
+ fi
+}
+
src_install() {
multibuild_foreach_variant ngspice_install
@@ -182,18 +213,3 @@ ngspice_install() {
popd &>/dev/null || die
}
-
-src_test() {
- if ! use debug; then
- # tests can be only executed for the binaries variant
- pushd "${WORKDIR}/${P}-binaries" &>/dev/null || die
- echo "set ngbehavior=mc" > "${HOME}"/.spiceinit || die "Failed to configure ${PN} for running the test suite"
- virtx default
- popd &>/dev/null || die
- else
- # https://sourceforge.net/p/ngspice/bugs/353/
- ewarn
- ewarn "Skipping tests because they are known to fail in debug mode"
- ewarn
- fi
-}
diff --git a/sci-electronics/nvc/Manifest b/sci-electronics/nvc/Manifest
new file mode 100644
index 000000000000..3c74b18cd0dd
--- /dev/null
+++ b/sci-electronics/nvc/Manifest
@@ -0,0 +1 @@
+DIST nvc-1.12.0.tar.gz 1929184 BLAKE2B a344c7f483aea26fb665b94b021e6c402b24c0d653604c32260833c9b2975fb6d461241e151b52056508a394f16f7d12ba4a29139e820e5028235d3ee95f3a03 SHA512 bd33fe13105e323cd9689ca1ea865f289d7833e519e0abca1c50615532b4909abecb07c29abb27afedcd3c288801e29d61a1a85cd58d449c67f5d5638437414d
diff --git a/sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch b/sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch
new file mode 100644
index 000000000000..963226b34c6d
--- /dev/null
+++ b/sci-electronics/nvc/files/nvc-1.9.2-jit-code-capstone.patch
@@ -0,0 +1,11 @@
+--- a/src/jit/jit-code.c
++++ b/src/jit/jit-code.c
+@@ -44,7 +44,7 @@
+ #endif
+
+ #ifdef HAVE_CAPSTONE
+-#include <capstone.h>
++#include <capstone/capstone.h>
+ #endif
+
+ #ifndef R_AARCH64_MOVW_UABS_G0_NC
diff --git a/sci-electronics/nvc/metadata.xml b/sci-electronics/nvc/metadata.xml
new file mode 100644
index 000000000000..30ee43a4d80a
--- /dev/null
+++ b/sci-electronics/nvc/metadata.xml
@@ -0,0 +1,28 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
+
+<pkgmetadata>
+ <maintainer type="person">
+ <email>xgqt@gentoo.org</email>
+ <name>Maciej Barć</name>
+ </maintainer>
+ <longdescription>
+ NVC is a VHDL compiler and simulator. NVC supports almost all of VHDL-2008
+ with the exception of PSL, and it has been successfully used to simulate
+ several real-world designs. Experimental support for VHDL-2019 is under
+ development. NVC has a particular emphasis on simulation performance and
+ uses LLVM to compile VHDL to native machine code. NVC is not a synthesizer.
+ That is, it does not output something that could be used to program an FPGA
+ or ASIC. It implements only the simulation behaviour of the language as
+ described by the IEEE 1076 standard. NVC supports popular verification
+ frameworks including OSVVM, UVVM, and VUnit.
+ </longdescription>
+ <use>
+ <flag name="llvm">Build LLVM code generator</flag>
+ </use>
+ <upstream>
+ <bugs-to>https://github.com/nickg/nvc/issues/</bugs-to>
+ <remote-id type="github">nickg/nvc</remote-id>
+ <remote-id type="sourcehut">~nickg/nvc</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/nvc/nvc-1.12.0.ebuild b/sci-electronics/nvc/nvc-1.12.0.ebuild
new file mode 100644
index 000000000000..dd4ecc425726
--- /dev/null
+++ b/sci-electronics/nvc/nvc-1.12.0.ebuild
@@ -0,0 +1,114 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+LLVM_MAX_SLOT=16
+
+inherit autotools bash-completion-r1 llvm
+
+DESCRIPTION="NVC is a VHDL compiler and simulator"
+HOMEPAGE="https://www.nickg.me.uk/nvc/
+ https://github.com/nickg/nvc/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/nickg/nvc.git"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-${PV}"
+else
+ SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz
+ -> ${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-r${PV}"
+fi
+
+NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
+S="${NVC_BUILDDIR}"
+
+LICENSE="GPL-3+"
+SLOT="0"
+IUSE="debug llvm"
+RESTRICT="test" # Some tests fail.
+
+RDEPEND="
+ app-arch/bzip2:=
+ app-arch/zstd:=
+ dev-libs/capstone:=
+ dev-libs/elfutils
+ dev-libs/icu:=
+ dev-libs/libffi:=
+ dev-libs/libxml2:=
+ sys-libs/ncurses:=
+ sys-libs/zlib:=
+ llvm? (
+ <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-libs/check
+ sys-devel/bison
+ sys-devel/flex
+"
+
+PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
+
+# Special libraries for NVC.
+QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
+
+pkg_setup() {
+ use llvm && llvm_pkg_setup
+}
+
+src_unpack() {
+ default
+
+ mkdir -p "${S}" || die
+}
+
+src_prepare() {
+ pushd "${NVC_SOURCEDIR}" >/dev/null || die
+
+ default
+ eautoreconf
+
+ popd >/dev/null || die
+}
+
+src_configure() {
+ # Needs "bison" and "flex" exactly.
+ unset LEX
+ unset YACC
+
+ local ECONF_SOURCE="${NVC_SOURCEDIR}"
+ local -a myconf=(
+ --enable-verilog
+ --enable-vital
+ --with-bash-completion="$(get_bashcompdir)"
+ $(use_enable debug)
+ $(use_enable llvm)
+ )
+ econf "${myconf[@]}"
+
+ export V=1 # Verbose compilation and install.
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_test() {
+ PATH="${S}/bin:${PATH}" emake check-TESTS
+}
+
+src_install() {
+ default
+
+ mv "${D}/$(get_bashcompdir)"/nvc{.bash,} || die
+ dostrip -x "/usr/$(get_libdir)/nvc"
+}
diff --git a/sci-electronics/osqoop/metadata.xml b/sci-electronics/osqoop/metadata.xml
index 115e9d64a669..25ca9d853448 100644
--- a/sci-electronics/osqoop/metadata.xml
+++ b/sci-electronics/osqoop/metadata.xml
@@ -2,4 +2,7 @@
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
<!-- maintainer-needed -->
+ <upstream>
+ <remote-id type="github">sandsmark/osqoop</remote-id>
+ </upstream>
</pkgmetadata>
diff --git a/sci-electronics/pcb/Manifest b/sci-electronics/pcb/Manifest
index 340ef2eb2ae0..982f8701dd3f 100644
--- a/sci-electronics/pcb/Manifest
+++ b/sci-electronics/pcb/Manifest
@@ -1 +1,2 @@
DIST pcb-4.2.2.tar.gz 5182807 BLAKE2B e2a9ab7fdeb402d9d07717fbc2ad4b31594aada95693c2a7046c73364a43591ee1a537f0cbda67bf46e39d53c61a454f146963fe14a4661fe2ffbc11c2eab99f SHA512 c76fc053fbb0a8a9b7aef494a37d3587efaa2023affd32c3cce895632a7e68fbb85f8862cff8349c7d281365379c07a5471c9467c5977a7aa053a83fc6499c55
+DIST pcb-4.3.0.tar.gz 5383782 BLAKE2B 745db23f9a578b4e785ccaca2f5acccbe83fcd94bfaf34f594fd7fe3ec68d0fe4183f2d705f0a3fb32b1f07b6a4af657ab27cda7b70d652072365828e0a07289 SHA512 eaee631c7c2d0a8047e95634ac1a3892fa38d621d7fd3c8aafaaa05692cf6e6a92ea5733bf512631f9f8c2ff5b6dbeeda7f304cd35cfb771d339976842d64039
diff --git a/sci-electronics/pcb/pcb-4.2.2.ebuild b/sci-electronics/pcb/pcb-4.2.2.ebuild
index b51e7ef4e3cd..8c88c3365640 100644
--- a/sci-electronics/pcb/pcb-4.2.2.ebuild
+++ b/sci-electronics/pcb/pcb-4.2.2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -11,7 +11,7 @@ SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
+KEYWORDS="amd64 ~ppc ~x86"
IUSE="dbus doc gcode gif gsvit gui jpeg m4lib-png nelma png test tk toporouter"
# toporouter-output USE flag removed, there seems to be no result
RESTRICT="!test? ( test )"
diff --git a/sci-electronics/pcb/pcb-4.3.0.ebuild b/sci-electronics/pcb/pcb-4.3.0.ebuild
new file mode 100644
index 000000000000..80f27c1fb2ba
--- /dev/null
+++ b/sci-electronics/pcb/pcb-4.3.0.ebuild
@@ -0,0 +1,113 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools toolchain-funcs virtualx xdg
+
+DESCRIPTION="GPL Electronic Design Automation: Printed Circuit Board editor"
+HOMEPAGE="http://pcb.geda-project.org/"
+SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="dbus doc gcode gif gsvit gui jpeg m4lib-png nelma png test tk toporouter"
+# toporouter-output USE flag removed, there seems to be no result
+RESTRICT="!test? ( test )"
+
+RDEPEND="
+ dev-libs/glib:2
+ sci-electronics/electronics-menu
+ gif? ( media-libs/gd )
+ gsvit? ( media-libs/gd[png] )
+ gui? (
+ x11-libs/gtk+:2
+ x11-libs/pango
+ dbus? ( sys-apps/dbus )
+ )
+ jpeg? ( media-libs/gd[jpeg] )
+ nelma? ( media-libs/gd[png] )
+ gcode? ( media-libs/gd[png] )
+ virtual/libintl
+ png? ( media-libs/gd[png] )
+ m4lib-png? ( media-libs/gd[png] )
+ tk? ( >=dev-lang/tk-8:0 )"
+#toporouter-output? ( x11-libs/cairo )
+
+DEPEND="${RDEPEND}"
+BDEPEND="
+ >=dev-util/intltool-0.35
+ virtual/pkgconfig
+ sys-devel/gettext
+ test? (
+ sci-electronics/gerbv
+ virtual/imagemagick-tools
+ )"
+
+PATCHES=( "${FILESDIR}"/${PN}-4.2.2-fix-autotools.patch )
+
+src_prepare() {
+ default
+ eautoreconf
+
+ # tests are unconditional, even in the known presence of missing/disabled
+ # features, so we have to remove feature tests ourselves.
+ if ! use gcode; then
+ sed -i '/^hid_gcode/d' tests/tests.list || die
+ fi
+ if ! use gsvit; then
+ sed -i '/^hid_gsvit/d' tests/tests.list || die
+ fi
+ if ! use nelma; then
+ sed -i '/^hid_nelma/d' tests/tests.list || die
+ fi
+ if ! use png; then
+ sed -i '/^hid_png/d' tests/tests.list || die
+ fi
+ if ! use gif; then
+ sed -i '/^hid_png10[[:digit:]]/d' tests/tests.list || die
+ fi
+ if ! use jpeg; then
+ sed -i '/^hid_png20[[:digit:]]/d' tests/tests.list || die
+ fi
+}
+
+src_configure() {
+ local exporters=( bom gerber ps ipcd356 )
+ if use png || use jpeg || use gif; then
+ exporters+=( png )
+ fi
+ use gcode && exporters+=( gcode )
+ use gsvit && exporters+=( gsvit )
+ use nelma && exporters+=( nelma )
+ use tk || export WISH="${EPREFIX}/bin/true"
+
+ # toporouter-output USE flag removed, seems to do nothing
+ # opengl disabled unconditionally, due to requiring EOL gtkglext
+ econf \
+ --disable-gl \
+ --disable-rpath \
+ --disable-toporouter-output \
+ --disable-update-mime-database \
+ --disable-update-desktop-database \
+ --disable-xrender \
+ --enable-nls \
+ $(use_enable doc) \
+ $(use_enable gif) \
+ $(use_enable jpeg) \
+ $(use_enable png) \
+ $(use_enable m4lib-png) \
+ $(use_enable toporouter) \
+ $(use_enable gui dbus $(usex dbus yes no)) \
+ --with-gui=$(usex gui gtk batch) \
+ --with-exporters="${exporters[*]}"
+}
+
+src_compile() {
+ emake AR="$(tc-getAR)"
+}
+
+src_test() {
+ virtx emake check
+}
diff --git a/sci-electronics/petrify/petrify-4.2-r1.ebuild b/sci-electronics/petrify/petrify-4.2-r1.ebuild
index 4620813921eb..ee66b2212e7f 100644
--- a/sci-electronics/petrify/petrify-4.2-r1.ebuild
+++ b/sci-electronics/petrify/petrify-4.2-r1.ebuild
@@ -1,11 +1,11 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2022 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
DESCRIPTION="Synthesize Petri nets into asynchronous circuits"
HOMEPAGE="http://www.lsi.upc.edu/~jordicf/petrify/"
-SRC_URI="http://www.lsi.upc.edu/~jordicf/petrify/distrib/petrify-4.2-linux.tgz"
+SRC_URI="http://www.lsi.upc.edu/~jordicf/petrify/distrib/${P}-linux.tgz"
S="${WORKDIR}"/${PN}
LICENSE="Old-MIT"
diff --git a/sci-electronics/puff/files/puff-20181104-Makefile.patch b/sci-electronics/puff/files/puff-20181104-Makefile.patch
index a874b9173aed..c51c87d1d33b 100644
--- a/sci-electronics/puff/files/puff-20181104-Makefile.patch
+++ b/sci-electronics/puff/files/puff-20181104-Makefile.patch
@@ -2,7 +2,7 @@
# respect CC and LD
--- Makefile.old 2021-07-03 16:34:49.937098627 +0200
+++ Makefile 2021-07-03 16:33:56.615284555 +0200
-@@ -1,12 +1,13 @@
+@@ -1,12 +1,14 @@
X11LIBDIR = /usr/X11R6/lib
PASCALCOMPILER = fpc
-CC = gcc
@@ -15,7 +15,8 @@
puff: $(POBJS) puff_c.o ppas.sh
- sed 's/link.res/link.res puff_c.o -lX11/' <ppas.sh >ppasx.sh
+ sed -i -e 's!^[^[:space:]]\+ -b!$(LD) -b!g' ppas.sh
-+ sed 's/.res/.res $(LDFLAGS) puff_c.o -lX11/' <ppas.sh >ppasx.sh
++ sed -i -e 's/\-b [^[:space:]]\+//g' ppas.sh
++ sed 's:.res:.res $(LDFLAGS) puff_c.o -lX11:' <ppas.sh >ppasx.sh
sh ppasx.sh
%.o: %.pas
diff --git a/sci-electronics/puff/puff-20100127-r1.ebuild b/sci-electronics/puff/puff-20100127-r1.ebuild
index 3ec8bccda1fa..1c2f5621d272 100644
--- a/sci-electronics/puff/puff-20100127-r1.ebuild
+++ b/sci-electronics/puff/puff-20100127-r1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -6,8 +6,8 @@ EAPI=7
inherit flag-o-matic toolchain-funcs
DESCRIPTION="microwave CAD software"
-HOMEPAGE="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/puff/"
-SRC_URI="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/${PN}/${P}.tgz"
+HOMEPAGE="https://www.pa3fwm.nl/software/puff/"
+SRC_URI="https://www.pa3fwm.nl/software/${PN}/${P}.tgz"
LICENSE="GPL-3"
SLOT="0"
@@ -21,7 +21,7 @@ src_prepare() {
default
# fix lib path for X11 and dont ignore LDFLAGS
# respect CC and LD
- eapply -p0 "${FILESDIR}"/$P-Makefile.patch
+ eapply -p0 "${FILESDIR}"/${P}-Makefile.patch
eapply_user
}
diff --git a/sci-electronics/puff/puff-20181104.ebuild b/sci-electronics/puff/puff-20181104.ebuild
index 3ec8bccda1fa..3931f1297a2f 100644
--- a/sci-electronics/puff/puff-20181104.ebuild
+++ b/sci-electronics/puff/puff-20181104.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -6,8 +6,8 @@ EAPI=7
inherit flag-o-matic toolchain-funcs
DESCRIPTION="microwave CAD software"
-HOMEPAGE="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/puff/"
-SRC_URI="https://wwwhome.cs.utwente.nl/~ptdeboer/ham/${PN}/${P}.tgz"
+HOMEPAGE="https://www.pa3fwm.nl/software/puff/"
+SRC_URI="https://www.pa3fwm.nl/software/${PN}/${P}.tgz"
LICENSE="GPL-3"
SLOT="0"
@@ -21,11 +21,18 @@ src_prepare() {
default
# fix lib path for X11 and dont ignore LDFLAGS
# respect CC and LD
- eapply -p0 "${FILESDIR}"/$P-Makefile.patch
+ # additional drop explicite format option for linker (bug #831569)
+ eapply -p0 "${FILESDIR}"/${P}-Makefile.patch
+ # add missing LDPATH for libX11.so
+ sed -i -e "s:-lX11:-L/usr/$(get_libdir) -lX11:g" Makefile || die
+ # drop no longer needed and now unsupported paramter '-T' (bug #8802225)
+ sed -i -e "s: -T : :g" Makefile || die
eapply_user
}
src_compile() {
+# # fails to compile with -flto (bug #862516)
+ filter-lto
LDFLAGS="$(raw-ldflags)"
emake -j1 CC="$(tc-getCC)" LD="$(tc-getLD)"
}
diff --git a/sci-electronics/pulseview/pulseview-0.4.2.ebuild b/sci-electronics/pulseview/pulseview-0.4.2-r1.ebuild
index e96be770c575..6d68a3f229f6 100644
--- a/sci-electronics/pulseview/pulseview-0.4.2.ebuild
+++ b/sci-electronics/pulseview/pulseview-0.4.2-r1.ebuild
@@ -1,11 +1,9 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI="8"
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit cmake python-single-r1 xdg-utils
+inherit cmake xdg-utils
if [[ ${PV} == *9999* ]]; then
EGIT_REPO_URI="git://sigrok.org/${PN}"
@@ -21,7 +19,6 @@ HOMEPAGE="https://sigrok.org/wiki/PulseView"
LICENSE="GPL-3"
SLOT="0"
IUSE="+decode static"
-REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
BDEPEND="
dev-qt/linguist-tools:5
@@ -29,17 +26,14 @@ BDEPEND="
"
RDEPEND="
>=dev-cpp/glibmm-2.28.0:2
- >=dev-libs/boost-1.55:=
+ dev-libs/boost:=
>=dev-libs/glib-2.28.0:2
dev-qt/qtcore:5
dev-qt/qtgui:5
dev-qt/qtsvg:5
dev-qt/qtwidgets:5
>=sci-libs/libsigrok-0.5.1:=[cxx]
- decode? (
- ${PYTHON_DEPS}
- >=sci-libs/libsigrokdecode-0.5.2:=[${PYTHON_SINGLE_USEDEP}]
- )
+ decode? ( >=sci-libs/libsigrokdecode-0.5.2:= )
"
DEPEND="${RDEPEND}"
@@ -50,10 +44,6 @@ PATCHES=(
"${FILESDIR}"/${PN}-0.4.2-glib-2.68.patch
)
-pkg_setup() {
- use decode && python_setup
-}
-
src_prepare() {
cmake_src_prepare
cmake_comment_add_subdirectory manual
diff --git a/sci-electronics/pulseview/pulseview-9999.ebuild b/sci-electronics/pulseview/pulseview-9999.ebuild
index 1b2ea98c17f8..5def86e1cebb 100644
--- a/sci-electronics/pulseview/pulseview-9999.ebuild
+++ b/sci-electronics/pulseview/pulseview-9999.ebuild
@@ -1,11 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI="8"
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit cmake python-single-r1 xdg-utils
+inherit cmake xdg-utils
if [[ ${PV} == *9999* ]]; then
EGIT_REPO_URI="git://sigrok.org/${PN}"
@@ -21,7 +19,6 @@ HOMEPAGE="https://sigrok.org/wiki/PulseView"
LICENSE="GPL-3"
SLOT="0"
IUSE="+decode static"
-REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
BDEPEND="
dev-qt/linguist-tools:5
@@ -29,26 +26,19 @@ BDEPEND="
"
RDEPEND="
>=dev-cpp/glibmm-2.28.0:2
- >=dev-libs/boost-1.55:=
+ dev-libs/boost:=
>=dev-libs/glib-2.28.0:2
dev-qt/qtcore:5
dev-qt/qtgui:5
dev-qt/qtsvg:5
dev-qt/qtwidgets:5
>=sci-libs/libsigrok-0.6.0:=[cxx]
- decode? (
- ${PYTHON_DEPS}
- >=sci-libs/libsigrokdecode-0.6.0:=[${PYTHON_SINGLE_USEDEP}]
- )
+ decode? ( >=sci-libs/libsigrokdecode-0.6.0:= )
"
DEPEND="${RDEPEND}"
DOCS=( HACKING NEWS README )
-pkg_setup() {
- use decode && python_setup
-}
-
src_prepare() {
cmake_src_prepare
cmake_comment_add_subdirectory manual
diff --git a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
index 68f5ed0ee26b..2776c5129585 100644
--- a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
+++ b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
@@ -1,4 +1,4 @@
-# Copyright 2001-2021 Gentoo Authors
+# Copyright 2001-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -14,7 +14,7 @@ if [[ ${PV} == *9999* ]]; then
else
MY_P=qet-${PV/%0/.0}
SRC_URI="https://git.tuxfamily.org/qet/qet.git/snapshot/${MY_P}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="amd64 x86"
+ KEYWORDS="amd64 ~x86"
S="${WORKDIR}"/${MY_P}
fi
@@ -24,7 +24,7 @@ IUSE="doc"
BDEPEND="
virtual/pkgconfig
- doc? ( app-doc/doxygen )
+ doc? ( app-text/doxygen )
"
RDEPEND="
dev-db/sqlite:3
diff --git a/sci-electronics/qelectrotech/qelectrotech-9999.ebuild b/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
index 17b3beea6240..ab1501d00974 100644
--- a/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
+++ b/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 2001-2021 Gentoo Authors
+# Copyright 2001-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -24,7 +24,7 @@ IUSE="doc"
BDEPEND="
virtual/pkgconfig
- doc? ( app-doc/doxygen )
+ doc? ( app-text/doxygen )
"
RDEPEND="
dev-db/sqlite:3
diff --git a/sci-electronics/sigrok-cli/Manifest b/sci-electronics/sigrok-cli/Manifest
index faa8d80770c8..e8ccd32829c1 100644
--- a/sci-electronics/sigrok-cli/Manifest
+++ b/sci-electronics/sigrok-cli/Manifest
@@ -1,2 +1 @@
-DIST sigrok-cli-0.7.1.tar.gz 221754 BLAKE2B a2edd3ef990c484660dd3fa6f8b8f2ca3eb500a39ac66da1ce9b95c7dd6bfbc5f39b7e5a28872bc8b4c6b7e6282919bbb22f71796ad8e16f3549ef2e4674f02e SHA512 a56d9439efca74f8362ed225d8fa92adfaee053470b166629ad2d8f3ebf5bea05a37782750c9321aabd3b47e634734fa5e5b0cc5353bf49dd1629cdcdb98aef5
DIST sigrok-cli-0.7.2.tar.gz 230354 BLAKE2B a026f1305391d8c29e13dd0e88ee2e4ec3f8991aad728749bf2d74a0380b994341a056e227c8762dda75a409383fba53050739fe8fc55282b925791af72dfb72 SHA512 5862aa11a586ebb3acf9bffd1d2686cb5b3c3622b436080e05e2fc826a4814cf4746f128c01764f8dc664c5fc1a1e8c6ef66e548770278cc6ea99353d2173143
diff --git a/sci-electronics/sigrok-cli/sigrok-cli-0.7.1-r1.ebuild b/sci-electronics/sigrok-cli/sigrok-cli-0.7.2-r1.ebuild
index 98082389e4df..148370c0f790 100644
--- a/sci-electronics/sigrok-cli/sigrok-cli-0.7.1-r1.ebuild
+++ b/sci-electronics/sigrok-cli/sigrok-cli-0.7.2-r1.ebuild
@@ -1,11 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI="7"
+EAPI="8"
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit python-single-r1 xdg-utils
+inherit xdg-utils
if [[ ${PV} == "9999" ]]; then
EGIT_REPO_URI="git://sigrok.org/${PN}"
@@ -21,14 +19,10 @@ HOMEPAGE="https://sigrok.org/wiki/Sigrok-cli"
LICENSE="GPL-3"
SLOT="0"
IUSE="+decode"
-REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
RDEPEND=">=dev-libs/glib-2.32.0
>=sci-libs/libsigrok-0.5.0:=
- decode? (
- >=sci-libs/libsigrokdecode-0.5.0:=[${PYTHON_SINGLE_USEDEP}]
- ${PYTHON_DEPS}
- )"
+ decode? ( >=sci-libs/libsigrokdecode-0.5.0:= )"
DEPEND="${RDEPEND}
virtual/pkgconfig"
diff --git a/sci-electronics/sigrok-cli/sigrok-cli-0.7.2.ebuild b/sci-electronics/sigrok-cli/sigrok-cli-0.7.2.ebuild
deleted file mode 100644
index 7033695a6683..000000000000
--- a/sci-electronics/sigrok-cli/sigrok-cli-0.7.2.ebuild
+++ /dev/null
@@ -1,52 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="7"
-
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit python-single-r1 xdg-utils
-
-if [[ ${PV} == "9999" ]]; then
- EGIT_REPO_URI="git://sigrok.org/${PN}"
- inherit git-r3 autotools
-else
- SRC_URI="https://sigrok.org/download/source/${PN}/${P}.tar.gz"
- KEYWORDS="~amd64 ~x86"
-fi
-
-DESCRIPTION="Command-line client for the sigrok logic analyzer software"
-HOMEPAGE="https://sigrok.org/wiki/Sigrok-cli"
-
-LICENSE="GPL-3"
-SLOT="0"
-IUSE="+decode"
-REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
-
-RDEPEND=">=dev-libs/glib-2.32.0
- >=sci-libs/libsigrok-0.5.0:=
- decode? (
- >=sci-libs/libsigrokdecode-0.5.0:=[${PYTHON_SINGLE_USEDEP}]
- ${PYTHON_DEPS}
- )"
-DEPEND="${RDEPEND}
- virtual/pkgconfig"
-
-src_prepare() {
- [[ ${PV} == "9999" ]] && eautoreconf
- eapply_user
-}
-
-src_configure() {
- econf $(use_with decode libsigrokdecode)
-}
-
-pkg_postinst() {
- xdg_icon_cache_update
- xdg_desktop_database_update
-}
-
-pkg_postrm() {
- xdg_icon_cache_update
- xdg_desktop_database_update
-}
diff --git a/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild b/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild
index 98082389e4df..148370c0f790 100644
--- a/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild
+++ b/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild
@@ -1,11 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI="7"
+EAPI="8"
-PYTHON_COMPAT=( python3_{7,8,9} )
-
-inherit python-single-r1 xdg-utils
+inherit xdg-utils
if [[ ${PV} == "9999" ]]; then
EGIT_REPO_URI="git://sigrok.org/${PN}"
@@ -21,14 +19,10 @@ HOMEPAGE="https://sigrok.org/wiki/Sigrok-cli"
LICENSE="GPL-3"
SLOT="0"
IUSE="+decode"
-REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
RDEPEND=">=dev-libs/glib-2.32.0
>=sci-libs/libsigrok-0.5.0:=
- decode? (
- >=sci-libs/libsigrokdecode-0.5.0:=[${PYTHON_SINGLE_USEDEP}]
- ${PYTHON_DEPS}
- )"
+ decode? ( >=sci-libs/libsigrokdecode-0.5.0:= )"
DEPEND="${RDEPEND}
virtual/pkgconfig"
diff --git a/sci-electronics/spice/files/spice-3.5.5-arlocal.patch b/sci-electronics/spice/files/spice-3.5.5-arlocal.patch
new file mode 100644
index 000000000000..575726fdb7b0
--- /dev/null
+++ b/sci-electronics/spice/files/spice-3.5.5-arlocal.patch
@@ -0,0 +1,11 @@
+--- spice3f5sfix/conf/deaults.orig 2023-07-23 16:26:09.073788130 +0300
++++ spice3f5sfix/conf/defaults 2023-07-23 16:26:36.772571639 +0300
+@@ -173,7 +173,7 @@
+ # spice3 source directory than in /tmp. Set to 'l' (lowercase 'L')
+ # to use the source directory, otherwise leave blank.
+
+-ARLOCAL = l
++ARLOCAL =
+
+ # DEPEND_PROG is the command to generate dependencies from '.c' files
+ # (for "make depend"). Output should be of the form "x11.o: fte.h".
diff --git a/sci-electronics/spice/spice-3.5.5-r3.ebuild b/sci-electronics/spice/spice-3.5.5-r4.ebuild
index e20171071a19..383863ebe1d0 100644
--- a/sci-electronics/spice/spice-3.5.5-r3.ebuild
+++ b/sci-electronics/spice/spice-3.5.5-r4.ebuild
@@ -1,29 +1,39 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI="6"
+EAPI=8
inherit flag-o-matic toolchain-funcs
MY_P="spice3f5sfix"
DESCRIPTION="general-purpose circuit simulation program"
-HOMEPAGE="http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/"
-SRC_URI="http://www.ibiblio.org/pub/Linux/apps/circuits/${MY_P}.tar.gz"
+HOMEPAGE="http://bwrcs.EECS.Berkeley.EDU/Classes/IcBook/SPICE/"
+SRC_URI="https://www.ibiblio.org/pub/Linux/apps/circuits/${MY_P}.tar.gz"
+S=${WORKDIR}/${MY_P}
LICENSE="BSD"
SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
+KEYWORDS="amd64 ~ppc ~x86"
-RDEPEND="sys-libs/ncurses:0=
+RDEPEND="
+ sys-libs/ncurses:0=
x11-libs/libXaw
- >=app-misc/editor-wrapper-3"
+ >=app-misc/editor-wrapper-3
+"
DEPEND="${RDEPEND}
- virtual/pkgconfig
x11-base/xorg-proto
- "
+"
-S=${WORKDIR}/${MY_P}
+BDEPEND="
+ virtual/pkgconfig
+"
+
+PATCHES=(
+ "${FILESDIR}"/${P}-gcc-4.1.patch
+ # Bug https://bugs.gentoo.org/783192
+ "${FILESDIR}"/${P}-arlocal.patch
+)
src_prepare() {
# spice accepts -O1 at most
@@ -32,25 +42,24 @@ src_prepare() {
# Avoid re-creating WORKDIR due to stupid mtime
touch ..
- sed -i -e "s:termcap:ncurses:g" \
- -e "s:joe:/usr/libexec/editor:g" \
- -e "s:-O2 -s:${CFLAGS}:g" \
- -e "s:-lncurses -lm -s:-lncurses -lm ${LDFLAGS}:" \
- -e "s:SPICE_DIR)/lib:SPICE_DIR)/$(get_libdir)/spice:g" \
- -e "s:/usr/local/spice:/usr:g" \
- -e "s:/X11R6::" \
+ sed -i -e "s/termcap/ncurses/g" \
+ -e "s/joe/\/usr\/libexec\/editor/g" \
+ -e "s/-O2 -s/${CFLAGS}/g" \
+ -e "s/-lncurses -lm -s/-lncurses -lm ${LDFLAGS}/" \
+ -e "s/SPICE_DIR)\/lib/SPICE_DIR)\/$(get_libdir)\/spice/g" \
+ -e "s/\/usr\/local\/spice/\/usr/g" \
+ -e "s/\/X11R6//" \
conf/linux || die
- sed -i -e "s:head -1:head -n 1:" util/build || die
- epatch "${FILESDIR}"/${P}-gcc-4.1.patch
+ sed -i -e "s/head -1/head -n 1/" util/build || die
# fix possible buffer overflow (bug #339539)
- sed -i -e "s:fgets(buf, BSIZE_SP:fgets(buf, sizeof(buf):g" \
+ sed -i -e "s/fgets(buf, BSIZE_SP/fgets(buf, sizeof(buf)/g" \
src/lib/fte/misccoms.c || die
# fix missing libtinfo if ncurses compiled with USE=tinfo (bug #605718)
- sed -i -e "s:-lncurses:$($(tc-getPKG_CONFIG) --libs ncurses):g" conf/linux || die
+ sed -i -e "s/-lncurses/$($(tc-getPKG_CONFIG) --libs ncurses)/g" conf/linux || die
- eapply_user
+ default
}
src_compile() {
@@ -62,11 +71,11 @@ src_install() {
# install binaries
dobin obj/bin/{spice3,nutmeg,sconvert,multidec,proc2mod}
newbin obj/bin/help spice.help
- dosym /usr/bin/spice3 /usr/bin/spice
+ dosym spice3 /usr/bin/spice
# install runtime stuff
- rm -f lib/make*
+ rm lib/make* || die
dodir /usr/$(get_libdir)/spice
- cp -R lib/* "${D}"/usr/$(get_libdir)/spice/ || die "failed to copy libraries"
+ cp -R lib/* "${ED}"/usr/$(get_libdir)/spice/ || die "failed to copy libraries"
# install docs
doman man/man1/*.1
dodoc readme readme.Linux notes/spice2
diff --git a/sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch b/sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch
new file mode 100644
index 000000000000..4445b06f2057
--- /dev/null
+++ b/sci-electronics/splat/files/splat-1.4.2-drop-register-keyword.patch
@@ -0,0 +1,22 @@
+Register keyword was deprecated in C++14 and removed in C++17
+Bug: https://bugs.gentoo.org/898168
+--- a/splat.cpp
++++ b/splat.cpp
+@@ -567,7 +567,7 @@ double ElevationAngle(struct site source, struct site destination)
+ (downtilt), as referenced to a normal to the center of
+ the earth. */
+
+- register double a, b, dx;
++ double a, b, dx;
+
+ a=GetElevation(destination)+destination.alt+earthradius;
+ b=GetElevation(source)+source.alt+earthradius;
+@@ -2727,7 +2727,7 @@ void PlotPath(struct site source, struct site destination, char mask_value)
+
+ char block;
+ int x, y;
+- register double cos_xmtr_angle, cos_test_angle, test_alt;
++ double cos_xmtr_angle, cos_test_angle, test_alt;
+ double distance, rx_alt, tx_alt;
+
+ ReadPath(source,destination);
diff --git a/sci-electronics/splat/splat-1.4.2-r1.ebuild b/sci-electronics/splat/splat-1.4.2-r1.ebuild
new file mode 100644
index 000000000000..e8c4e8321e79
--- /dev/null
+++ b/sci-electronics/splat/splat-1.4.2-r1.ebuild
@@ -0,0 +1,92 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit toolchain-funcs
+
+DESCRIPTION="RF Signal Propagation, Loss, And Terrain analysis tool"
+HOMEPAGE="https://www.qsl.net/kd2bd/splat.html"
+SRC_URI="https://www.qsl.net/kd2bd/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="doc hires l10n_es"
+
+DEPEND="
+ app-arch/bzip2
+ sys-libs/zlib
+"
+RDEPEND="${DEPEND}"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-1.2.2-gcc43.patch
+ "${FILESDIR}"/${PN}-1.4.2-drop-register-keyword.patch
+)
+
+src_configure() {
+ # fake resulting file from interactive configuration script
+ # using default resolution
+ cat <<- EOF > "${S}/splat.h" || die
+ /* Parameters for 3 arc-second standard resolution mode of operation */
+ #define MAXPAGES 9
+ #define HD_MODE 0
+ EOF
+ if use hires; then
+ # fake resulting file from interactive configuration script
+ # using default resolution
+ cat <<- EOF > "${S}/hires.h" || die
+ /* Parameters for 3 arc-second hires resolution mode of operation */
+ #define MAXPAGES 9
+ #define HD_MODE 1
+ EOF
+ fi
+}
+
+src_compile() {
+ tc-export CC CXX
+
+ cp {splat,rfsplat}.cpp || die
+ emake LDLIBS="-lm -lbz2" -E "rfsplat: itwom3.0.o"
+ if use hires; then
+ cp {hires,splat}.h || die
+ cp {splat,rfsplat-hd}.cpp || die
+ emake LDLIBS="-lm -lbz2" -E "rfsplat-hd: itwom3.0.o"
+ fi
+
+ cd utils || die
+
+ emake citydecoder usgs2sdf
+ emake LDLIBS=-lbz2 srtm2sdf
+ emake LDLIBS=-lm bearing
+}
+
+src_install() {
+ local SPLAT_LANG="english"
+ use l10n_es && SPLAT_LANG="spanish"
+ # splat binary
+ dobin rfsplat
+
+ use hires && dobin rfsplat-hd
+
+ # utilities
+ dobin utils/{citydecoder,usgs2sdf,srtm2sdf,postdownload,bearing}
+ newman docs/${SPLAT_LANG}/man/splat.man rfsplat.1
+
+ dodoc CHANGES README utils/fips.txt
+ newdoc utils/README README.UTILS
+
+ use doc && dodoc docs/${SPLAT_LANG}/{pdf/splat.pdf,postscript/splat.ps}
+
+ #sample data
+ docinto sample_data
+ dodoc -r sample_data/.
+}
+
+pkg_postinst() {
+ elog "The original SPLAT! command got renamed to 'rfsplat' to avoid"
+ elog "filename collision with app-portage/splat."
+ elog ""
+ elog "Be aware that it is still referenced as 'splat' in the documentation."
+}
diff --git a/sci-electronics/systemc/systemc-2.3.4-r1.ebuild b/sci-electronics/systemc/systemc-2.3.4-r1.ebuild
index 4669cd8f6d9b..ad0a4ea125bb 100644
--- a/sci-electronics/systemc/systemc-2.3.4-r1.ebuild
+++ b/sci-electronics/systemc/systemc-2.3.4-r1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -18,7 +18,7 @@ if [[ "${PV}" == "9999" ]] ; then
inherit git-r3
else
SRC_URI="https://github.com/accellera-official/${PN}/archive/${MY_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~amd64 ~arm ~arm64 ~x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~x86"
S="${WORKDIR}/${PN}-${MY_PV}"
fi
@@ -34,7 +34,7 @@ src_prepare() {
}
src_configure() {
- econf CXX=$(tc-getCXX) \
+ econf CXX="$(tc-getCXX)" \
$(use_enable debug) \
$(use_enable static-libs static) \
--with-unix-layout
diff --git a/sci-electronics/systemc/systemc-2.3.4-r2.ebuild b/sci-electronics/systemc/systemc-2.3.4-r2.ebuild
new file mode 100644
index 000000000000..098270948b4f
--- /dev/null
+++ b/sci-electronics/systemc/systemc-2.3.4-r2.ebuild
@@ -0,0 +1,57 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+MY_PV="${PV}_pub_rev_20190614"
+
+inherit autotools toolchain-funcs
+
+DESCRIPTION="A C++ based modeling platform for VLSI and system-level co-design"
+HOMEPAGE="
+ https://systemc.org
+ https://github.com/accellera-official/systemc
+"
+
+if [[ "${PV}" == "9999" ]] ; then
+ EGIT_REPO_URI="https://github.com/accellera-official/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://github.com/accellera-official/${PN}/archive/${MY_PV}.tar.gz -> ${P}.tar.gz"
+ KEYWORDS="amd64 ~arm ~arm64 ~x86"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+fi
+
+LICENSE="Apache-2.0"
+SLOT="0"
+IUSE="debug doc examples static-libs"
+REQUIRED_USE="examples? ( doc )"
+
+src_prepare() {
+ default
+ eautoconf --force
+}
+
+src_configure() {
+ econf CXX="$(tc-getCXX)" \
+ $(use_enable debug) \
+ $(use_enable static-libs static) \
+ --with-unix-layout
+}
+
+src_install() {
+ default
+ if use doc; then
+ if use examples; then
+ docompress -x /usr/share/doc/"${PF}"/examples
+ else
+ rm -r "${ED}"/usr/share/doc/"${PF}"/examples || die
+ fi
+ else
+ rm -r "${ED}"/usr/share/doc/"${PF}" || die
+ fi
+
+ if ! use static-libs; then
+ find "${ED}" -name "*.la" -delete || die
+ fi
+}
diff --git a/sci-electronics/vbs/vbs-1.4.0.ebuild b/sci-electronics/vbs/vbs-1.4.0.ebuild
index 4e58d702ffea..43f654dfbc5e 100644
--- a/sci-electronics/vbs/vbs-1.4.0.ebuild
+++ b/sci-electronics/vbs/vbs-1.4.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=6
@@ -13,8 +13,8 @@ KEYWORDS="~amd64 ~ppc ~x86"
IUSE=""
DEPEND="
- sys-devel/flex
- sys-devel/bison"
+ app-alternatives/lex
+ app-alternatives/yacc"
RDEPEND=""
S="${WORKDIR}/${P}/src"
diff --git a/sci-electronics/voacapl/metadata.xml b/sci-electronics/voacapl/metadata.xml
index 001997549c54..2bbb21a0d132 100644
--- a/sci-electronics/voacapl/metadata.xml
+++ b/sci-electronics/voacapl/metadata.xml
@@ -5,4 +5,7 @@
<email>tomjbe@gentoo.org</email>
<name>Thomas Beierlein</name>
</maintainer>
+ <upstream>
+ <remote-id type="github">jawatson/voacapl</remote-id>
+ </upstream>
</pkgmetadata>
diff --git a/sci-electronics/voacapl/voacapl-0.7.6.ebuild b/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild
index 0ae8fe6a8d63..31ddee59a282 100644
--- a/sci-electronics/voacapl/voacapl-0.7.6.ebuild
+++ b/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI="7"
-inherit fortran-2
+inherit autotools fortran-2
MY_P=${PN}-v.${PV}
@@ -13,14 +13,18 @@ SRC_URI="https://github.com/jawatson/${PN}/archive/v.${PV}.tar.gz -> ${P}.tar.gz
LICENSE="all-rights-reserved"
SLOT="0"
-KEYWORDS="amd64 x86"
-IUSE=""
+KEYWORDS="amd64 ~x86"
RESTRICT="mirror bindist"
S="${WORKDIR}/${MY_P}"
+src_prepare() {
+ eapply_user
+ eautoreconf
+}
+
src_compile() {
# bug 513766
- emake -j1 DESTDIR="${D}"
+ emake -j1
}
diff --git a/sci-electronics/xcircuit/Manifest b/sci-electronics/xcircuit/Manifest
index 081de064ba6a..bcbcdccac84e 100644
--- a/sci-electronics/xcircuit/Manifest
+++ b/sci-electronics/xcircuit/Manifest
@@ -1,2 +1 @@
DIST xcircuit-3.10.30.tgz 1619256 BLAKE2B 5316a2dc7d9864eefbdbf1647920c322c85adfbe27289a7c4dfc719940921754a6e616af530b20b3ffa159004ce6808cceb6e4d9349dcbc110e2e580a574c7a1 SHA512 52bf65fa9b5c095092f60abe32b3c88180365cc8043a8e95652ea9ab20e01c3e790989fe41171ecd1b2c60684016f6963c4851105b17a1c8a3bac8d75db84a8e
-DIST xcircuit-3.9.73.tgz 1620922 BLAKE2B ac3f8e6f9370efd720b57a9cd7c487621534f477d1af4fd267d311da3bd949886eccd1c8e8e59071689637272ca23f985dd1809594aab692fa60ea2ca8f8a456 SHA512 2dfeb130a48c99bf08bdff94250f7157acd283bc3f3d6b41f963346deb1241aca0d59a3e8d60dbd36a4aa60acd89e131b263ddbf489b2b44e7e1831714d7b703
diff --git a/sci-electronics/xcircuit/metadata.xml b/sci-electronics/xcircuit/metadata.xml
index 4139bb1a7533..886eda20dd11 100644
--- a/sci-electronics/xcircuit/metadata.xml
+++ b/sci-electronics/xcircuit/metadata.xml
@@ -23,5 +23,6 @@
<changelog>http://www.opencircuitdesign.com/xcircuit/changes.html</changelog>
<doc lang="en">http://www.opencircuitdesign.com/xcircuit/reference.html</doc>
<bugs-to>mailto:tim@opencircuitdesign.com</bugs-to>
+ <remote-id type="github">RTimothyEdwards/xcircuit</remote-id>
</upstream>
</pkgmetadata>
diff --git a/sci-electronics/xcircuit/xcircuit-3.10.30.ebuild b/sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild
index 838248386668..99dddef08239 100644
--- a/sci-electronics/xcircuit/xcircuit-3.10.30.ebuild
+++ b/sci-electronics/xcircuit/xcircuit-3.10.30-r1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -11,11 +11,11 @@ HOMEPAGE="http://opencircuitdesign.com/xcircuit"
LICENSE="GPL-2"
SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
+KEYWORDS="amd64 ~ppc ~x86"
IUSE="tcl"
DEPEND="
- app-text/ghostscript-gpl
+ app-text/ghostscript-gpl:=
media-libs/fontconfig:1.0=
sys-libs/zlib:=
x11-libs/cairo
diff --git a/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild b/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild
deleted file mode 100644
index d44b7bc85edb..000000000000
--- a/sci-electronics/xcircuit/xcircuit-3.9.73.ebuild
+++ /dev/null
@@ -1,55 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-inherit autotools
-
-DESCRIPTION="Circuit drawing and schematic capture program"
-SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
-HOMEPAGE="http://opencircuitdesign.com/xcircuit"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 ~ppc x86"
-IUSE="tcl"
-
-DEPEND="
- app-text/ghostscript-gpl
- media-libs/fontconfig:1.0=
- sys-libs/zlib:=
- x11-libs/cairo
- x11-libs/libICE
- x11-libs/libSM
- x11-libs/libX11
- x11-libs/libXpm
- x11-libs/libXt
- tcl? (
- dev-lang/tcl:0=
- dev-lang/tk:0=
- )
-"
-RDEPEND=${DEPEND}
-
-src_prepare() {
- default
- mv configure.{in,ac} || die
- eautoreconf
-}
-
-src_configure() {
- local myeconfargs=(
- --with-cairo
- --with-ngspice
- $(use_with tcl)
- $(use_with tcl tcllibs "/usr/$(get_libdir)")
- $(use_with tcl tk)
- )
- econf "${myeconfargs[@]}"
-}
-
-src_install() {
- default
- dodoc -r examples
- docompress -x /usr/share/doc/${PF}/examples
-}
diff --git a/sci-electronics/xnec2c/Manifest b/sci-electronics/xnec2c/Manifest
index 2e9161df57da..c696df8e4e77 100644
--- a/sci-electronics/xnec2c/Manifest
+++ b/sci-electronics/xnec2c/Manifest
@@ -1 +1,2 @@
-DIST xnec2c-4.1.1.tar.bz2 947969 BLAKE2B 81794dc557d5cc845043be97e07b628e69dcd26fddb3886d11bf31374eba0187491ad9787187f8f4a19cf04f644288c680d3b8778a7b6e144209951b25bbfbf1 SHA512 3a97521f568d79158d5d224958e4cb3708de8214476ba228f9ce0ac122899eb9b06f596d5b0c43a85a18dbd6d86e0751f1a70128c14dd8972f10deeb5a1db980
+DIST xnec2c-v4.4.12.tar.gz 1496988 BLAKE2B dbcea1e24382b9f2bb6e881007b44dcf99b9caa7f970ecbb1363e1a0e232d9d1bda6fe68f90622d81cdc69b3b9ff6019dd4b123d6a79f8c6bd088dbd804354b8 SHA512 0d1711260d7f69633d9e6bb559f3b56c2a25414f75ed913c643c0aaad5e4062834ce6c7c7816741f65436173b331e6f8f957c4a624a77214414865bac94ab7e8
+DIST xnec2c-v4.4.14.tar.gz 1509461 BLAKE2B 26aca7e4e328dff4e418d16499312bd3137fc9c46b382e928c1916fa111cfb7da2e891274ac414691b0e40520146a7c9da4781fa2864f1abcade247c2732fa89 SHA512 8fa407bf47a1cd600ddffb51b89b6f8ac869a6c8c5d8d1420a494d14c6d8c03e8a6ff845dcff3ac64aca0373d32980a0e1f4f615806df178cdef2eae2190212f
diff --git a/sci-electronics/xnec2c/xnec2c-4.1.1.ebuild b/sci-electronics/xnec2c/xnec2c-4.1.1.ebuild
deleted file mode 100644
index caca0d5d56eb..000000000000
--- a/sci-electronics/xnec2c/xnec2c-4.1.1.ebuild
+++ /dev/null
@@ -1,41 +0,0 @@
-# Copyright 1999-2020 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit xdg-utils
-
-DESCRIPTION="A GTK+ graphical interactive version of nec2c"
-HOMEPAGE="https://www.qsl.net/5b4az/pages/nec2.html"
-SRC_URI="https://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 x86"
-IUSE="doc examples"
-
-RDEPEND="dev-libs/glib:2
- x11-libs/gtk+:3"
-DEPEND="${RDEPEND}
- sys-devel/gettext"
-
-src_install() {
- default
- rm -R "${D}/usr/share/doc/${PN}" || die
-
- docompress -x /usr/share/man
- dodoc AUTHORS README doc/*.txt
- use doc && dodoc -r doc/*.html doc/images
- if use examples ; then
- docinto examples
- dodoc examples/*
- fi
-}
-
-pkg_postinst() {
- xdg_icon_cache_update
-}
-
-pkg_postrm() {
- xdg_icon_cache_update
-}
diff --git a/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild b/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild
new file mode 100644
index 000000000000..cb4d85bd034f
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-4.4.12.ebuild
@@ -0,0 +1,56 @@
+# Copyright 1999-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools xdg-utils
+
+MY_P=${PN}-v${PV}
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="https://www.xnec2c.org"
+SRC_URI="https://www.xnec2c.org/releases/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:3"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ eapply_user
+ # bug 896176
+ sed -i -e "s/-D_FORTIFY_SOURCE=2//g" configure.ac || die
+ eautoreconf
+}
+
+src_install() {
+ default
+ docompress -x /usr/share/man
+
+ rm -R "${D}/usr/share/doc/${P}" || die
+ dodoc AUTHORS README.md doc/*.txt
+ use doc && dodoc -r doc/*.html doc/images
+
+ if ! use examples ; then
+ rm -R "${D}/usr/share/${PN}/examples" || die
+ fi
+}
+
+pkg_postinst() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
+
+pkg_postrm() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
diff --git a/sci-electronics/xnec2c/xnec2c-4.4.14.ebuild b/sci-electronics/xnec2c/xnec2c-4.4.14.ebuild
new file mode 100644
index 000000000000..a968f495ffc9
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-4.4.14.ebuild
@@ -0,0 +1,56 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools xdg-utils
+
+MY_P=${PN}-v${PV}
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="https://www.xnec2c.org"
+SRC_URI="https://www.xnec2c.org/releases/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:3"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ eapply_user
+ # bug 896176
+ sed -i -e "s/-D_FORTIFY_SOURCE=2//g" configure.ac || die
+ eautoreconf
+}
+
+src_install() {
+ default
+ docompress -x /usr/share/man
+
+ rm -R "${D}/usr/share/doc/${P}" || die
+ dodoc AUTHORS README.md doc/*.txt
+ use doc && dodoc -r doc/*.html doc/images
+
+ if ! use examples ; then
+ rm -R "${D}/usr/share/${PN}/examples" || die
+ fi
+}
+
+pkg_postinst() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
+
+pkg_postrm() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
diff --git a/sci-electronics/xnecview/Manifest b/sci-electronics/xnecview/Manifest
new file mode 100644
index 000000000000..8a9aa6cdf579
--- /dev/null
+++ b/sci-electronics/xnecview/Manifest
@@ -0,0 +1 @@
+DIST xnecview-1.37.tgz 79005 BLAKE2B 505ba69b7ba77355f789b36af3e1df3719eb0a9179541d83a26285a0e5c84fc7b9b156bf4d586bfa28af0074819e397bf7441e39a819972c701e6487787c07d3 SHA512 6fc4beec1b9502d6084d4e412b8bb89d1deeaab5bfa6c0341cba1a899fb9a59eb1e7f9dfc61a97f2853ecff57c241dc9af993b176410799a07f113e3a60f75d7
diff --git a/sci-electronics/xnecview/files/xnecview-tc-variables.patch b/sci-electronics/xnecview/files/xnecview-tc-variables.patch
new file mode 100644
index 000000000000..cd8a5c7d04dd
--- /dev/null
+++ b/sci-electronics/xnecview/files/xnecview-tc-variables.patch
@@ -0,0 +1,29 @@
+diff -Naur xnecview-1.37.org/Makefile xnecview-1.37/Makefile
+--- xnecview-1.37.org/Makefile 2006-03-27 22:38:37.000000000 +0200
++++ xnecview-1.37/Makefile 2022-09-01 19:00:31.929015747 +0200
+@@ -3,11 +3,12 @@
+
+
+
+-CC = gcc
+-CFLAGS = -O2 -g -Wall `pkg-config gtk+-2.0 --cflags`
++CC ?= gcc
++CFLAGS ?= -O2 -g -Wall
++CFLAGS += `$(PKG_CONFIG) gtk+-2.0 --cflags`
+
+-LD = $(CC)
+-LDFLAGS = `pkg-config gtk+-2.0 --libs` -lm
++LD ?= $(CC)
++LDFLAGS += `$(PKG_CONFIG) gtk+-2.0 --libs` -lm
+
+ ifeq ($(PNG),yes)
+ CFLAGS += -DHAVE_LIBPNG
+@@ -20,7 +21,7 @@
+ all: xnecview
+
+ xnecview: $(OBJS)
+- $(CC) $(CFLAGS) $(LDFLAGS) $(OBJS) -o xnecview
++ $(CC) $(CFLAGS) $(OBJS) $(LDFLAGS) -o xnecview
+
+ xnecview.tgz: $(SRCS)
+ tar czvf xnecview.tgz COPYING README HISTORY Imakefile Imakefile_nopng Makefile $(SRCS) xnecview.h config.h xnecview.1x xnecview.man
diff --git a/sci-electronics/kicad-i18n/metadata.xml b/sci-electronics/xnecview/metadata.xml
index 8cbb9ea9aa19..2f6ad5330dc3 100644
--- a/sci-electronics/kicad-i18n/metadata.xml
+++ b/sci-electronics/xnecview/metadata.xml
@@ -2,14 +2,16 @@
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
<maintainer type="person" proxied="yes">
- <email>zoltan@sinustrom.info</email>
- <name>Zoltan Puskas</name>
+ <email>mario.haustein@hrz.tu-chemnitz.de</email>
+ <name>Mario Haustein</name>
</maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
</maintainer>
- <upstream>
- <remote-id type="github">kicad/kicad-i18n</remote-id>
- </upstream>
+ <longdescription>
+ Xnecview is a program for visualizing the input and output files of the
+ NEC antenna simulation software. It runs on Linux/Unix systems with the
+ X window system.
+ </longdescription>
</pkgmetadata>
diff --git a/sci-electronics/xnecview/xnecview-1.37.ebuild b/sci-electronics/xnecview/xnecview-1.37.ebuild
new file mode 100644
index 000000000000..23ffa4d06bc9
--- /dev/null
+++ b/sci-electronics/xnecview/xnecview-1.37.ebuild
@@ -0,0 +1,37 @@
+# Copyright 2022-2023 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit toolchain-funcs
+
+DESCRIPTION="A program for visualizing NEC2 input and output data"
+HOMEPAGE="https://www.pa3fwm.nl/software/xnecview/"
+SRC_URI="https://www.pa3fwm.nl/software/xnecview/xnecview-${PV}.tgz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+
+DEPEND="
+ >=media-libs/libpng-1.6
+ x11-libs/gtk+:2
+"
+RDEPEND="${DEPEND}"
+BDEPEND="virtual/pkgconfig"
+
+PATCHES=(
+ "${FILESDIR}/${PN}-tc-variables.patch"
+)
+
+src_compile() {
+ tc-export CC LD PKG_CONFIG
+ emake
+}
+
+src_install() {
+ dobin xnecview
+ doman xnecview.1x
+ dodoc README
+ dodoc HISTORY
+}
diff --git a/sci-electronics/xoscope/Manifest b/sci-electronics/xoscope/Manifest
index 0a0abf655aab..b9770c340d50 100644
--- a/sci-electronics/xoscope/Manifest
+++ b/sci-electronics/xoscope/Manifest
@@ -1 +1 @@
-DIST xoscope-2.2.tar.gz 307319 BLAKE2B 860fe234e5958a005ff0cff5143fcc0711d69b958e2f6277589d08074001872b065ecb435493285231ff0c61aea1b50b221aa36127b25947184e059e951a70ec SHA512 77a1d7d673af3d82e5a3d563d6901c3d6f5a16a1d889e9b95ac2d7d10c8036461a94fb841266b6d131e96303d4fce47b4bc8255b3855690e9148ead6c38b74cd
+DIST xoscope-2.3.tar.gz 390105 BLAKE2B 140dd39a9fa754c9ec274041ed0f64124f58b68fabc56a940498d81698146e8474040728360b25ece367cf64bf6b31e2e04b246529d73d4829242b8570eadc3d SHA512 9f1c15a240644027927e1bc39d2a0204d03b8dbe9d1d2aed974d062777562c6877d46f6e3768cf506799d902373fd26a090d94821b0f791f8f3151f7bb2e7eee
diff --git a/sci-electronics/xoscope/files/xoscope-2.2-fno-common.patch b/sci-electronics/xoscope/files/xoscope-2.2-fno-common.patch
deleted file mode 100644
index 124a8fdd767c..000000000000
--- a/sci-electronics/xoscope/files/xoscope-2.2-fno-common.patch
+++ /dev/null
@@ -1,16 +0,0 @@
-Description: Fix FTBFS with GCC 10
-Bug-Debian: https://bugs.debian.org/957990
-Forwarded: https://sourceforge.net/p/xoscope/discussion/23485/thread/d6fba12f59/
-Author: Raymundo Cassani <raymundo.cassani@gmail.com>
-Last-Update: 2020-05-20
-
---- a/display.c
-+++ b/display.c
-@@ -35,7 +35,6 @@
- #define DEBUG 0
-
- int triggered = 0; /* whether we've triggered or not */
--void *font;
- int math_warning = 0; /* TRUE if math has a problem */
-
- struct signal_stats stats;
diff --git a/sci-electronics/xoscope/xoscope-2.2.ebuild b/sci-electronics/xoscope/xoscope-2.3.ebuild
index 433adcd9e38d..fccde12d9709 100644
--- a/sci-electronics/xoscope/xoscope-2.2.ebuild
+++ b/sci-electronics/xoscope/xoscope-2.3.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-inherit autotools linux-info
+inherit autotools flag-o-matic linux-info
DESCRIPTION="Soundcard Oscilloscope for X"
HOMEPAGE="http://xoscope.sourceforge.net"
@@ -11,24 +11,33 @@ SRC_URI="mirror://sourceforge/project/${PN}/${PN}/${PV}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
-KEYWORDS="amd64 x86"
+KEYWORDS="amd64 ~x86"
RDEPEND="
+ dev-libs/glib:2
+ media-libs/alsa-lib
sci-libs/fftw:3.0=
virtual/man
- x11-libs/gtkdatabox"
+ x11-libs/gtk+:3
+ >=x11-libs/gtkdatabox-1"
DEPEND="${RDEPEND}"
BDEPEND="virtual/pkgconfig"
CONFIG_CHECK="~!SND_PCM_OSS"
ERROR_SND_PCM_OSS="CONFIG_SND_PCM_OSS is needed to support sound card input via /dev/dsp"
-PATCHES=(
- "${FILESDIR}"/${P}-man_no_-Tutf8.patch
- "${FILESDIR}"/${P}-fno-common.patch
-)
+PATCHES=( "${FILESDIR}"/${PN}-2.2-man_no_-Tutf8.patch )
src_prepare() {
default
eautoreconf
}
+
+src_configure() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/878065
+ # https://sourceforge.net/p/xoscope/bugs/23/
+ filter-lto
+
+ default
+}