summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
Diffstat (limited to 'sci-electronics')
-rw-r--r--sci-electronics/NanoVNA-QT/metadata.xml5
-rw-r--r--sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild14
-rw-r--r--sci-electronics/freehdl/Manifest1
-rw-r--r--sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch507
-rw-r--r--sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch279
-rw-r--r--sci-electronics/freehdl/freehdl-0.0.8.ebuild32
-rw-r--r--sci-electronics/freehdl/metadata.xml24
-rw-r--r--sci-electronics/gazebo/files/boost.patch49
-rw-r--r--sci-electronics/gazebo/files/gv10.patch45
-rw-r--r--sci-electronics/gazebo/gazebo-11.14.0.ebuild4
-rw-r--r--sci-electronics/geda-suite/geda-suite-20171223-r2.ebuild (renamed from sci-electronics/geda-suite/geda-suite-20171223-r1.ebuild)11
-rw-r--r--sci-electronics/geda/Manifest1
-rw-r--r--sci-electronics/geda/files/geda-1.9.2-fno-common.patch97
-rw-r--r--sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch16
-rw-r--r--sci-electronics/geda/geda-1.10.2-r1.ebuild97
-rw-r--r--sci-electronics/geda/geda-1.10.2-r2.ebuild32
-rw-r--r--sci-electronics/geda/geda-1.9.2-r1.ebuild95
-rw-r--r--sci-electronics/geda/metadata.xml3
-rw-r--r--sci-electronics/gerbv/gerbv-2.7.0.ebuild4
-rw-r--r--sci-electronics/ghdl/Manifest3
-rw-r--r--sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch (renamed from sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch)12
-rw-r--r--sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild (renamed from sci-electronics/ghdl/ghdl-3.0.0.ebuild)21
-rw-r--r--sci-electronics/ghdl/ghdl-4.1.0.ebuild90
-rw-r--r--sci-electronics/gsmc/gsmc-1.1-r3.ebuild14
-rw-r--r--sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild4
-rw-r--r--sci-electronics/gspiceui/Manifest2
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch26
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch50
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.1.0.ebuild85
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.2.87.ebuild6
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild (renamed from sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild)30
-rw-r--r--sci-electronics/gspiceui/metadata.xml4
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.106.ebuild4
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.111.ebuild4
-rw-r--r--sci-electronics/gwave/gwave-20190116.ebuild4
-rw-r--r--sci-electronics/irsim/Manifest1
-rw-r--r--sci-electronics/irsim/irsim-9.7.93-r1.ebuild46
-rw-r--r--sci-electronics/irsim/metadata.xml3
-rw-r--r--sci-electronics/iverilog/iverilog-10.3.ebuild8
-rw-r--r--sci-electronics/iverilog/iverilog-11.0.ebuild8
-rw-r--r--sci-electronics/iverilog/iverilog-12.0.ebuild8
-rw-r--r--sci-electronics/iverilog/iverilog-9999.ebuild8
-rw-r--r--sci-electronics/kicad-footprints/Manifest8
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.10.ebuild (renamed from sci-electronics/kicad-footprints/kicad-footprints-7.0.8.ebuild)6
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild (renamed from sci-electronics/kicad-footprints/kicad-footprints-7.0.9.ebuild)4
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild2
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild6
-rw-r--r--sci-electronics/kicad-footprints/metadata.xml4
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.11.ebuild (renamed from sci-electronics/kicad-meta/kicad-meta-7.0.8.ebuild)3
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild1
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild (renamed from sci-electronics/kicad-meta/kicad-meta-7.0.9.ebuild)3
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild27
-rw-r--r--sci-electronics/kicad-meta/metadata.xml4
-rw-r--r--sci-electronics/kicad-packages3d/Manifest8
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.10.ebuild (renamed from sci-electronics/kicad-packages3d/kicad-packages3d-7.0.9.ebuild)5
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild (renamed from sci-electronics/kicad-packages3d/kicad-packages3d-7.0.8.ebuild)3
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild3
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild5
-rw-r--r--sci-electronics/kicad-packages3d/metadata.xml4
-rw-r--r--sci-electronics/kicad-symbols/Manifest8
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.10.ebuild (renamed from sci-electronics/kicad-symbols/kicad-symbols-7.0.9.ebuild)6
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild (renamed from sci-electronics/kicad-symbols/kicad-symbols-7.0.8.ebuild)4
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild2
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild6
-rw-r--r--sci-electronics/kicad-symbols/metadata.xml4
-rw-r--r--sci-electronics/kicad-templates/Manifest8
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-7.0.10.ebuild (renamed from sci-electronics/kicad-templates/kicad-templates-7.0.9.ebuild)4
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild (renamed from sci-electronics/kicad-templates/kicad-templates-7.0.8.ebuild)2
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-9999.ebuild4
-rw-r--r--sci-electronics/kicad-templates/metadata.xml4
-rw-r--r--sci-electronics/kicad/Manifest8
-rw-r--r--sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch36
-rw-r--r--sci-electronics/kicad/kicad-7.0.10.ebuild (renamed from sci-electronics/kicad/kicad-7.0.9.ebuild)13
-rw-r--r--sci-electronics/kicad/kicad-7.0.11.ebuild (renamed from sci-electronics/kicad/kicad-7.0.8-r1.ebuild)11
-rw-r--r--sci-electronics/kicad/kicad-7.0.7.ebuild15
-rw-r--r--sci-electronics/kicad/kicad-8.0.0.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-8.0.1.ebuild181
-rw-r--r--sci-electronics/kicad/kicad-8.0.2-r1.ebuild180
-rw-r--r--sci-electronics/kicad/kicad-8.0.3.ebuild185
-rw-r--r--sci-electronics/kicad/kicad-9999.ebuild36
-rw-r--r--sci-electronics/kicad/metadata.xml4
-rw-r--r--sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild (renamed from sci-electronics/librepcb/librepcb-1.0.0-r1.ebuild)5
-rw-r--r--sci-electronics/ngspice/ngspice-36.ebuild6
-rw-r--r--sci-electronics/ngspice/ngspice-40.ebuild15
-rw-r--r--sci-electronics/nvc/Manifest5
-rw-r--r--sci-electronics/nvc/metadata.xml1
-rw-r--r--sci-electronics/nvc/nvc-1.12.1.ebuild (renamed from sci-electronics/nvc/nvc-1.10.4.ebuild)33
-rw-r--r--sci-electronics/nvc/nvc-1.12.2.ebuild (renamed from sci-electronics/nvc/nvc-1.10.3-r1.ebuild)33
-rw-r--r--sci-electronics/nvc/nvc-1.13.0.ebuild114
-rw-r--r--sci-electronics/pcb/pcb-4.2.2.ebuild4
-rw-r--r--sci-electronics/pcb/pcb-4.3.0.ebuild4
-rw-r--r--sci-electronics/qelectrotech/metadata.xml5
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-0.80.ebuild6
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-9999.ebuild4
-rw-r--r--sci-electronics/spice/spice-3.5.5-r3.ebuild75
-rw-r--r--sci-electronics/spice/spice-3.5.5-r4.ebuild2
-rw-r--r--sci-electronics/systemc/systemc-2.3.4-r2.ebuild4
-rw-r--r--sci-electronics/vbs/Manifest1
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch11
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch105
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch30
-rw-r--r--sci-electronics/vbs/metadata.xml13
-rw-r--r--sci-electronics/vbs/vbs-1.4.0.ebuild40
-rw-r--r--sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild3
-rw-r--r--sci-electronics/xnec2c/Manifest2
-rw-r--r--sci-electronics/xnec2c/xnec2c-4.4.14.ebuild56
-rw-r--r--sci-electronics/xnec2c/xnec2c-4.4.16.ebuild56
-rw-r--r--sci-electronics/xoscope/xoscope-2.3.ebuild15
127 files changed, 2134 insertions, 1786 deletions
diff --git a/sci-electronics/NanoVNA-QT/metadata.xml b/sci-electronics/NanoVNA-QT/metadata.xml
index 84af1563757c..833cf3134f85 100644
--- a/sci-electronics/NanoVNA-QT/metadata.xml
+++ b/sci-electronics/NanoVNA-QT/metadata.xml
@@ -1,10 +1,7 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
- <maintainer type="person">
- <email>marecki@gentoo.org</email>
- <name>Marek Szuba</name>
- </maintainer>
+ <!-- maintainer-needed -->
<upstream>
<remote-id type="github">nanovna-v2/NanoVNA-QT</remote-id>
</upstream>
diff --git a/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild b/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild
index ee1cef974df8..b6277e0a9e1c 100644
--- a/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild
+++ b/sci-electronics/fasthenry/fasthenry-3.0.20.07.17.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=7
+EAPI=8
-inherit toolchain-funcs
+inherit flag-o-matic toolchain-funcs
DESCRIPTION="Three dimensional inductance computation program, Whiteley Research version"
HOMEPAGE="http://www.wrcad.com/freestuff.html"
@@ -27,6 +27,14 @@ PATCHES=(
)
src_compile() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/862450
+ #
+ # Upstream provides a contact email. I have sent an email describing the
+ # bug. They responded to say it is now fixed and a new version will be
+ # available shortly.
+ filter-lto
+
tc-export CC AR
emake all
}
diff --git a/sci-electronics/freehdl/Manifest b/sci-electronics/freehdl/Manifest
deleted file mode 100644
index 3b2fb612e71c..000000000000
--- a/sci-electronics/freehdl/Manifest
+++ /dev/null
@@ -1 +0,0 @@
-DIST freehdl-0.0.8.tar.gz 1389888 BLAKE2B 2205f07435bf3cd79d51ccec4c976de5c1d0eb30279ebbaf3bd9ebda274508501421bd6c0b10c2f73989ee4a01f0cc09bfb0542e5e442ea509911fdb5e9ee172 SHA512 731fde1a940a762e570107819a89ac2884029e5c4f5d51e5df0032a4381fd04c7da948b5f2de6b1ce60801f6ce3a0a9123a4902e75e216a1182b25b2134f44ee
diff --git a/sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch b/sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch
deleted file mode 100644
index 6da5bb1b27a1..000000000000
--- a/sci-electronics/freehdl/files/freehdl-0.0.8-fix-c++14.patch
+++ /dev/null
@@ -1,507 +0,0 @@
-C++11 has added new types (std::hash), which get dropped into the namespace due to
-awful 'using namespace std;' declarations everywhere, causing name collisions.
-Instead, only drop a minimal set of declarations into the global :: namespace.
-See also: https://bugs.gentoo.org/show_bug.cgi?id=594706
-
---- a/fire/test-fire.cc
-+++ b/fire/test-fire.cc
-@@ -2,7 +2,7 @@
- #include <stdio.h>
- #include <freehdl/fire.h>
-
--using namespace std;
-+using std::cout;
-
- extern tree_chunk_info fire_chunk_info;
-
---- a/freehdl/cdfggen-chunk.h
-+++ b/freehdl/cdfggen-chunk.h
-@@ -7,7 +7,9 @@
- #include <string>
- #include <vector>
- typedef enum {to, downto} cdfgg_direction;
--using namespace std;
-+using std::string;
-+using std::vector;
-+using std::pair;
-
- extern tree_chunk_info cdfggen_chunk_info;
- extern tree_ctype_info int_ctype_info;
---- a/freehdl/cdfggen-chunk.t
-+++ b/freehdl/cdfggen-chunk.t
-@@ -13,8 +13,7 @@
- (header-add "#include <freehdl/tree-supp.h>"
- "#include <string>"
- "#include <vector>"
-- "typedef enum {to, downto} cdfgg_direction;"
-- "using namespace std;")
-+ "typedef enum {to, downto} cdfgg_direction;")
-
- (impl-add "#include <freehdl/cdfggen-chunk.h>")
-
---- a/freehdl/kernel-attributes.hh
-+++ b/freehdl/kernel-attributes.hh
-@@ -1,6 +1,8 @@
- #ifndef FREEHDL_KERNEL_ATTRIBUTES_H
- #define FREEHDL_KERNEL_ATTRIBUTES_H
-
-+using std::max;
-+
- /* *************************************************************
- * Function kind attributes for signals
- * ************************************************************* */
---- a/freehdl/kernel-db.hh
-+++ b/freehdl/kernel-db.hh
-@@ -3,6 +3,8 @@
-
- #include <assert.h>
-
-+#include <string>
-+using std::string;
-
- /* This header file includes the definitions that are required to
- * setup a kernel database. This database will be used by the kernel
-@@ -254,7 +256,7 @@
-
- // A hash function template used tp generate a hash number from
- // d
--class db_basic_key_hash : public hash<unsigned long> {
-+class db_basic_key_hash : public __gnu_cxx::hash<unsigned long> {
- public:
- size_t operator()(const db_basic_key& x) const {
- return (*(hash<unsigned long> *)this)(((unsigned long)x.value)>>2);
---- a/freehdl/kernel-dump.hh
-+++ b/freehdl/kernel-dump.hh
-@@ -13,9 +13,9 @@
- #include <string>
- #include <fstream>
-
--using namespace std;
-+using std::fstream;
-
--typedef map<string, char*, less<string> > Tmap;
-+typedef map<string, const char*, less<string> > Tmap;
- extern Tmap mapping_translation_table;
-
- // For each signal which is dumped an virtual process is created. This
---- a/freehdl/kernel-fhdl-stream.hh
-+++ b/freehdl/kernel-fhdl-stream.hh
-@@ -9,7 +9,9 @@
- #include <string>
- #include <iostream>
-
--using namespace std;
-+using std::istream;
-+using std::ostream;
-+using std::string;
-
- struct fhdl_ostream_t {
- union {
---- a/freehdl/kernel-map-list.hh
-+++ b/freehdl/kernel-map-list.hh
-@@ -7,7 +7,6 @@
- #include <freehdl/kernel-acl.hh>
- #include <freehdl/kernel-sig-info.hh>
-
--using namespace std;
- //using namespace __gnu_cxx;
-
- // A signal_link instance describes the connection
---- a/freehdl/kernel-name-stack.hh
-+++ b/freehdl/kernel-name-stack.hh
-@@ -3,7 +3,7 @@
-
- #include <string>
-
--using namespace std;
-+using std::string;
-
- #define NAME_STACK_INCREMENT 10
-
---- a/freehdl/kernel-signal-source-list-array.hh
-+++ b/freehdl/kernel-signal-source-list-array.hh
-@@ -13,7 +13,6 @@
- #include <freehdl/kernel-util.hh>
- #include <freehdl/kernel-source-descriptor.hh>
-
--using namespace std;
- //using namespace __gnu_cxx;
-
- // signal_source stores information about a source of a signal. Note
---- a/freehdl/kernel-util.hh
-+++ b/freehdl/kernel-util.hh
-@@ -15,7 +15,8 @@
- #include <hash_map>
- #endif
-
--using namespace std;
-+using std::stringstream;
-+using std::string;
-
- #if !defined __GNUC__ || __GNUC__ != 2
- using namespace __gnu_cxx;
-@@ -24,7 +25,7 @@
- // A hash function template used tp generate a hash number from
- // pointer values.
- template<class T>
--class pointer_hash : public hash<unsigned long> {
-+class pointer_hash : public __gnu_cxx::hash<unsigned long> {
- public:
- size_t operator()(const T& x) const {
- return (*(hash<unsigned long> *)this)(((unsigned long)x)>>2);
---- a/freehdl/std.h
-+++ b/freehdl/std.h
-@@ -1,7 +1,6 @@
- #ifndef FREEHDL_STD_H
- #define FREEHDL_STD_H
-
--using namespace std;
-
- #include <freehdl/std-standard.hh>
- #include <freehdl/std-vhdl-types.hh>
---- a/freehdl/std-vhdl-types.hh
-+++ b/freehdl/std-vhdl-types.hh
-@@ -6,13 +6,24 @@
- #include <float.h>
- #include <math.h>
- #include <iostream>
-+#include <map>
-+#include <list>
-+#include <functional>
- #include <string.h>
-
- #include <freehdl/std-memory.hh>
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-acl.hh>
-
--using namespace std;
-+using std::string;
-+using std::istream;
-+using std::ostream;
-+using std::min;
-+using std::map;
-+using std::stringstream;
-+using std::list;
-+using std::less;
-+using std::iostream;
-
- typedef long long int lint;
- const int BUFFER_STREAM_SIZE_INCREMENT = 1024;
---- a/freehdl/vaul-lexer.h
-+++ b/freehdl/vaul-lexer.h
-@@ -32,7 +32,6 @@
- #include <stdarg.h>
- #include <string.h>
-
--using namespace std;
-
- #undef yyFlexLexer
- #define yyFlexLexer vaul_FlexLexer
---- a/ieee/numeric_std.cc
-+++ b/ieee/numeric_std.cc
-@@ -2,6 +2,7 @@
- #include <freehdl/std.h>
-
-
-+using std::max;
-
- /* package :ieee:numeric_std */
- /* External declarations */
---- a/kernel/attributes.cc
-+++ b/kernel/attributes.cc
-@@ -5,6 +5,7 @@
- #include <freehdl/kernel-kernel-class.hh>
- #include <freehdl/kernel-reader-info.hh>
- #include <freehdl/kernel-driver-info.hh>
-+using std::max;
- #include <freehdl/kernel-attributes.hh>
-
-
---- a/kernel/db.cc
-+++ b/kernel/db.cc
-@@ -1,6 +1,5 @@
- #define KERNEL // Include internal kernel definitions
-
--using namespace std;
- #include <freehdl/kernel-db.hh>
-
-
---- a/kernel/fhdl_stream.cc
-+++ b/kernel/fhdl_stream.cc
-@@ -7,6 +7,9 @@
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-fhdl-stream.hh>
-
-+using std::cin;
-+using std::cout;
-+using std::stringstream;
-
- // Error stream to output error messages generated by the kernel,
- // e.g. to print error messages due to invalid simulator commands
---- a/kernel/kernel_class.cc
-+++ b/kernel/kernel_class.cc
-@@ -16,6 +16,10 @@
- #include <freehdl/kernel-resolver-descriptor.hh>
- #include <freehdl/kernel-fhdl-stream.hh>
-
-+using std::cerr;
-+using std::pair;
-+using std::binary_function;
-+
- // Arguments that are passed in form the command line
- int main_argc;
- char **main_argv;
---- a/kernel/main.cc
-+++ b/kernel/main.cc
-@@ -34,6 +34,15 @@
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-fhdl-stream.hh>
-
-+using std::ios;
-+using std::ifstream;
-+using std::ofstream;
-+using std::ostringstream;
-+using std::cin;
-+using std::cerr;
-+using std::cout;
-+using std::endl;
-+
- #ifdef PERFMON_STATISTICS
- #include "pcounter.hh"
- #endif
---- a/kernel/map_list.cc
-+++ b/kernel/map_list.cc
-@@ -4,6 +4,8 @@
- #include <freehdl/kernel-sig-info.hh>
- #include <freehdl/kernel-resolver-descriptor.hh>
-
-+using std::max;
-+
- // Stores the father signal(s) of port signals
- port_signal_link_map_t port_signal_link_map;
-
---- a/kernel/name_stack.cc
-+++ b/kernel/name_stack.cc
-@@ -1,8 +1,10 @@
- #include <stdlib.h>
- #include <stdio.h>
-+#include <stack>
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-name-stack.hh>
-
-+using std::stack;
-
- name_stack instance_name;
-
---- a/kernel/persistent_cdfg_dump.cc
-+++ b/kernel/persistent_cdfg_dump.cc
-@@ -3,6 +3,7 @@
- #include <freehdl/kernel-persistent-cdfg-dump.hh>
- #include <freehdl/kernel-persistent-dump.hh>
-
-+using std::endl;
-
- buffer_stream register_cdfg_tmp_buffer;
-
---- a/kernel/sig_info.cc
-+++ b/kernel/sig_info.cc
-@@ -1,6 +1,5 @@
- #define KERNEL // Include internal kernel definitions
-
--using namespace std;
- #include <freehdl/kernel-error.hh>
- #include <freehdl/kernel-db.hh>
- #include <freehdl/kernel-sig-info.hh>
---- a/std/internal_textio.cc
-+++ b/std/internal_textio.cc
-@@ -10,6 +10,10 @@
- #include <freehdl/kernel-name-stack.hh>
- #include <freehdl/kernel-register.hh>
-
-+using std::ios;
-+using std::cin;
-+using std::cout;
-+
- /* package :std:textio */
-
- /* Definitions for access type :std:textio:line */
---- a/std/vhdl_types.cc
-+++ b/std/vhdl_types.cc
-@@ -12,6 +12,9 @@
- #include <freehdl/kernel-register.hh>
-
-
-+using std::ios;
-+using std::ifstream;
-+using std::ofstream;
-
- /* *************************************************************
- * Some global functions
---- a/v2cc/mapping.cc
-+++ b/v2cc/mapping.cc
-@@ -34,7 +34,12 @@
- #include <iostream>
- #include <stdlib.h>
-
--using namespace std;
-+using std::string;
-+using std::list;
-+using std::map;
-+using std::istream;
-+using std::ifstream;
-+using std::cerr;
-
- v2cc_mapper::v2cc_mapper ()
- {
---- a/v2cc/v2cc.cc
-+++ b/v2cc/v2cc.cc
-@@ -35,7 +35,6 @@
-
- */
-
--using namespace std;
-
- #if HAVE_MALLOC_H
- #include <malloc.h>
---- a/v2cc/v2cc-const-fold.cc
-+++ b/v2cc/v2cc-const-fold.cc
-@@ -16,6 +16,9 @@
- #include "v2cc-util.h"
-
-
-+using std::cerr;
-+using std::max;
-+using std::min;
-
- // Used to generate error messages
- extern vaul_error_printer codegen_error;
---- a/v2cc/v2cc-decl.cc
-+++ b/v2cc/v2cc-decl.cc
-@@ -13,6 +13,9 @@
- #include "mapping.h"
- #include "v2cc-util.h"
-
-+using std::endl;
-+using std::min;
-+using std::max;
-
- void test (RegionStack &rstack)
- {
---- a/v2cc/v2cc-explore.cc
-+++ b/v2cc/v2cc-explore.cc
-@@ -15,7 +15,6 @@
- #include "mapping.h"
- #include "v2cc-util.h"
-
--using namespace std;
-
- // Used to generate error messages
- extern vaul_error_printer codegen_error;
---- a/v2cc/v2cc.h
-+++ b/v2cc/v2cc.h
-@@ -1,7 +1,17 @@
- #ifndef V2CC_HEADER
- #define V2CC_HEADER
-
--using namespace std;
-+using std::vector;
-+using std::list;
-+using std::string;
-+using std::pair;
-+using std::string;
-+using std::set;
-+using std::less;
-+using std::deque;
-+using std::binary_function;
-+using std::map;
-+using std::binary_function;
-
- #include <freehdl/vaul.h>
- #include "mapping.h"
---- a/v2cc/v2cc-qid.cc
-+++ b/v2cc/v2cc-qid.cc
-@@ -4,6 +4,7 @@
- #include "v2cc-util.h"
-
-
-+using std::endl;
-
- // ******************************************************************************************
- // Name: m_qid , generic function
---- a/v2cc/v2cc-util.cc
-+++ b/v2cc/v2cc-util.cc
-@@ -9,6 +9,9 @@
-
- #include "v2cc-util.h"
-
-+using std::endl;
-+using std::hex;
-+using std::dec;
-
- // ******************************************************************************************
- // Some global variables
---- a/v2cc/v2cc-util.h
-+++ b/v2cc/v2cc-util.h
-@@ -2,13 +2,18 @@
- #ifndef V2CC_UTIL_H
- #define V2CC_UTIL_H
-
--using namespace std;
-
- #include <sstream>
- #include <iomanip>
- #include <freehdl/vaul.h>
- #include "v2cc-chunk.h"
-
-+using std::stringstream;
-+using std::setprecision;
-+using std::showpoint;
-+using std::ofstream;
-+using std::cout;
-+
- // ******************************************************************************************
- // Some global variables
- // ******************************************************************************************
---- a/vaul/bison-parser.cc
-+++ b/vaul/bison-parser.cc
-@@ -86,7 +86,6 @@
- #include <malloc.h>
- #endif
-
--using namespace std;
-
- #define YYINITDEPTH 10000
- #define YYMAXDEPTH 100000
---- a/vaul/bison-parser.yy
-+++ b/vaul/bison-parser.yy
-@@ -49,7 +49,6 @@
- #include <malloc.h>
- #endif
-
--using namespace std;
-
- #define YYINITDEPTH 10000
- #define YYMAXDEPTH 100000
---- a/vaul/printer.cc
-+++ b/vaul/printer.cc
-@@ -27,7 +27,8 @@
- #include <string.h>
- #include <sstream>
-
--using namespace std;
-+using std::ostringstream;
-+using std::ostream;
-
- void vaul_printer::printf (const char *fmt, ...)
- {
---- a/vaul/tree.cc
-+++ b/vaul/tree.cc
-@@ -31,7 +31,7 @@
- #include <assert.h>
- #include <stdlib.h>
-
--using namespace std;
-+using std::ostream;
-
- vaul_id_set::vaul_id_set(int dummy)
- {
diff --git a/sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch b/sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch
deleted file mode 100644
index d35af9f69a69..000000000000
--- a/sci-electronics/freehdl/files/freehdl-0.0.8-qa.patch
+++ /dev/null
@@ -1,279 +0,0 @@
-Fix various QA issues:
-* Use correct printf format specifiers for 'size_t'
-* Fix const correctness ('char*' -> 'const char*') for C-string literals
-* Correctly forward declare inline functions
-
---- a/fire/test-fire.cc
-+++ b/fire/test-fire.cc
-@@ -24,7 +24,7 @@
- if (k->size < (size_t) N)
- sizes[k->size]++;
- if (k->size % 4 !=0)
-- printf ("odd size: %d\n", k->size);
-+ printf ("odd size: %zu\n", k->size);
- }
- printf ("min = %d, max = %d\n", min, max);
- for (int i = min; i <= max && i < N; i+=4)
---- a/fire/tree-supp.cc
-+++ b/fire/tree-supp.cc
-@@ -485,7 +485,7 @@
- if (size < (size_t) N)
- sizes[size]++;
- if (size % 4 !=0)
-- printf ("odd size: %d\n", size);
-+ printf ("odd size: %zu\n", size);
- }
-
- void
---- a/freehdl/kernel-dump.hh
-+++ b/freehdl/kernel-dump.hh
-@@ -42,9 +42,9 @@
- short wait_id;
- // This function will return an appropriate table entry (if
- // available)
-- char *find_table(type_info_interface* type);
-+ const char *find_table(type_info_interface* type);
- //this variable is used by the read_type function
-- char* translation_table;
-+ const char* translation_table;
- // This method is executed each time the signal value changes
- bool execute();
- // Continue dumping the signal.
-@@ -77,7 +77,7 @@
- extern list<signal_dump*> signal_dump_process_list;
-
- // Used to store the user_defined translation table types
--extern map<string, char*, less<string> > mapping_translation_table;
-+extern map<string, const char*, less<string> > mapping_translation_table;
-
-
- /******************************************************
---- a/freehdl/kernel-fhdl-stream.hh
-+++ b/freehdl/kernel-fhdl-stream.hh
-@@ -29,7 +31,7 @@
- str->flush();
- }
-
-- fhdl_ostream_t &operator<<(char *p);
-+ fhdl_ostream_t &operator<<(const char *p);
- fhdl_ostream_t &operator<<(const string &a);
- fhdl_ostream_t &operator<<(const int i);
- fhdl_ostream_t &operator<<(const unsigned int i);
---- a/freehdl/std-vhdl-types.hh
-+++ b/freehdl/std-vhdl-types.hh
-@@ -607,7 +618,7 @@
- // which caused the failure or NULL otherwise.
- virtual const char *read(void *dest, const char *str) = 0;
- // Prints the content of src into an string stream in VCD format
-- virtual void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) = 0;
-+ virtual void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) = 0;
- // Prints value into binary stream. Note that only the raw data but
- // no type info objects are written! The method returns the number
- // of bytes written to the stream.
-@@ -785,7 +796,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
-
- integer check(integer value) {
-@@ -821,7 +832,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {};
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {};
- const char *read(void *dest, const char *str);
- };
-
-@@ -849,7 +860,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode) {};
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {};
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {};
- const char *read(void *dest, const char *str);
- };
-
-@@ -879,7 +890,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
-
- floatingpoint check(floatingpoint value) {
-@@ -914,7 +925,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
-
- enumeration check(integer value) {
-@@ -954,7 +965,7 @@
- bool assign(void *dest, const void *src);
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) ;
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) ;
- const char *read(void *dest, const char *str);
-
- physical check(physical value) {
-@@ -1087,7 +1098,7 @@
- bool assign(void *dest, const void *src) { return false; };
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) ;
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) ;
- const char *read(void *dest, const char *str);
- };
-
-@@ -1230,7 +1241,7 @@
- bool assign(void *dest, const void *src) { return false; };
- void remove(void *src);
- void print(buffer_stream &str, const void *src, int mode);
-- void vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure);
-+ void vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure);
- const char *read(void *dest, const char *str);
- };
-
---- a/freehdl/vaul-lexer.h
-+++ b/freehdl/vaul-lexer.h
-@@ -115,7 +114,7 @@
- IR_String expand_bitstring(const char *, int len);
-
- int LexerInput(char *buf, int max_size);
-- void LexerError(char *msg);
-+ void LexerError(const char *msg);
- void message(char *fmt, va_list ap);
- void message(vaul_yyltype &loc, char *fmt, va_list ap);
-
---- a/kernel/driver_info.cc
-+++ b/kernel/driver_info.cc
-@@ -270,6 +270,7 @@
-
-
- // Creates transaction composite signals. Returns number of assigned scalars.
-+inline int do_record_transport_assignment(driver_info &, const record_base &, int, const vtime &);
- inline int
- do_array_transport_assignment(driver_info &driver, const array_base &value, int first, const vtime &tr_time)
- {
-@@ -311,7 +312,6 @@
- assigned_scalars += do_array_transport_assignment(driver, (array_base&)value.data[j], i, tr_time);
- break;
- case RECORD:
-- inline int do_record_transport_assignment(driver_info &, const record_base &, int, const vtime &);
- assigned_scalars += do_record_transport_assignment(driver, (record_base&)value.data[j], i, tr_time);
- break;
- }
-@@ -339,6 +339,7 @@
-
-
- // Creates transaction for composite signals. Returns number of assigned scalars.
-+inline int do_record_inertial_assignment(driver_info &, const record_base &, int, const vtime &, const vtime &);
- inline int
- do_array_inertial_assignment(driver_info &driver,
- const array_base &value, int first,
-@@ -381,8 +382,6 @@
- assigned_scalars += do_array_inertial_assignment(driver, (array_base&)value.data[j], i, tr_time, rm_time);
- break;
- case RECORD:
-- inline int do_record_inertial_assignment(driver_info &, const record_base &, int,
-- const vtime &, const vtime &);
- assigned_scalars += do_record_inertial_assignment(driver, (record_base&)value.data[j], i, tr_time, rm_time);
- break;
- }
---- a/kernel/dump.cc
-+++ b/kernel/dump.cc
-@@ -90,7 +90,7 @@
-
-
- // find_translation table
--char *
-+const char *
- signal_dump::find_table(type_info_interface* type)
- {
- switch(type->id)
---- a/kernel/fhdl_stream.cc
-+++ b/kernel/fhdl_stream.cc
-@@ -60,7 +63,7 @@
- }
-
- fhdl_ostream_t &
--fhdl_ostream_t::operator<<(char *p)
-+fhdl_ostream_t::operator<<(const char *p)
- {
- if (!socket_connection)
- *str << p;
---- a/std/vhdl_types.cc
-+++ b/std/vhdl_types.cc
-@@ -1013,7 +1016,7 @@
- }
-
- void
--integer_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure)
-+integer_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- integer op =*((integer*)src);
- static char result[INTEGER_SIZE_LD + 1];
-@@ -1306,7 +1309,7 @@
- }
-
- void
--float_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {
-+float_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {
- // should be definitly enough characters to hold a string
- // representation of a double
- static char rbuffer[8*sizeof(double)];
-@@ -1432,7 +1435,7 @@
-
-
- void
--enum_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure)
-+enum_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- if (translation_table != NULL) {
- const char output = translation_table[*((enumeration*)src)];
-@@ -1583,7 +1586,7 @@
- }
-
- void
--physical_info_base::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure) {
-+physical_info_base::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure) {
- str << *((physical*)src) << " " << units[0];
- }
-
-@@ -1778,7 +1781,7 @@
- }
-
- // Temporary VCD_Print function
--void array_info::vcd_print(buffer_stream &str, const void *src,char* translation_table, bool pure)
-+void array_info::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- //str.clean();
- int length = ((array_base*)src)->info->length;
-@@ -2090,7 +2093,7 @@
-
-
- // Temporary VCD_Print function
--void record_info::vcd_print(buffer_stream &str, const void *src, char* translation_table, bool pure)
-+void record_info::vcd_print(buffer_stream &str, const void *src, const char* translation_table, bool pure)
- {
- record_base &record = *(record_base*)src;
- record_info &rinfo = *record.info;
---- a/vaul/lexer.cc
-+++ b/vaul/lexer.cc
-@@ -2075,7 +2075,7 @@
- }
-
- void
--vaul_lexer::LexerError (char *m)
-+vaul_lexer::LexerError (const char *m)
- {
- if (prt)
- prt->fprintf (log, "%?%s %C\n", this, m, this);
diff --git a/sci-electronics/freehdl/freehdl-0.0.8.ebuild b/sci-electronics/freehdl/freehdl-0.0.8.ebuild
deleted file mode 100644
index 9bb8acab3f66..000000000000
--- a/sci-electronics/freehdl/freehdl-0.0.8.ebuild
+++ /dev/null
@@ -1,32 +0,0 @@
-# Copyright 1999-2016 Gentoo Foundation
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-DESCRIPTION="A free VHDL simulator"
-SRC_URI="mirror://sourceforge/qucs/${P}.tar.gz"
-HOMEPAGE="http://freehdl.seul.org/"
-LICENSE="GPL-2"
-
-SLOT="0"
-IUSE=""
-KEYWORDS="~amd64 ~ppc ~x86"
-
-RDEPEND=">=dev-scheme/guile-2.0:*"
-DEPEND="virtual/pkgconfig"
-
-PATCHES=(
- "${FILESDIR}/${PN}-0.0.8-fix-c++14.patch"
- "${FILESDIR}/${PN}-0.0.8-qa.patch"
-)
-
-src_configure() {
- econf --disable-static
-}
-
-src_install() {
- default
-
- # package provides .pc files
- find "${D}" -name '*.la' -delete || die
-}
diff --git a/sci-electronics/freehdl/metadata.xml b/sci-electronics/freehdl/metadata.xml
deleted file mode 100644
index 4b6dfed69f58..000000000000
--- a/sci-electronics/freehdl/metadata.xml
+++ /dev/null
@@ -1,24 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <maintainer type="project">
- <email>sci-electronics@gentoo.org</email>
- <name>Gentoo Electronics Project</name>
- </maintainer>
- <longdescription>
- Free, open source, GPL'ed VHDL simulator. The project goal is to develop a
- VHDL simulator that :
- * Has a graphical waveform viewer.
- * Has a source level debugger.
- * Is VHDL-93 compliant.
- * Is of commercial quality. (on par with, say, V-System - it'll take us a
- while to get there, but that should be our aim)
- * Is freely distributable - both source and binaries - like Linux itself.
- (Under the Gnu General Public License (GPL)).
- * Works with Linux. If others want to port it to other platforms they may,
- but it is not the goal of this project.
- </longdescription>
- <upstream>
- <remote-id type="sourceforge">qucs</remote-id>
- </upstream>
-</pkgmetadata>
diff --git a/sci-electronics/gazebo/files/boost.patch b/sci-electronics/gazebo/files/boost.patch
new file mode 100644
index 000000000000..56f26387c58c
--- /dev/null
+++ b/sci-electronics/gazebo/files/boost.patch
@@ -0,0 +1,49 @@
+From 6b4d0f0b94cb2d98e6a48970c1c128e9b4f13e47 Mon Sep 17 00:00:00 2001
+From: Steve Peters <scpeters@openrobotics.org>
+Date: Fri, 10 May 2024 15:39:02 +0200
+Subject: [PATCH 1/2] Fix build with boost 1.85.0
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ gazebo/common/Console.cc | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/gazebo/common/Console.cc b/gazebo/common/Console.cc
+index 6b86bc2d02..bb4dbe3180 100644
+--- a/gazebo/common/Console.cc
++++ b/gazebo/common/Console.cc
+@@ -221,7 +221,7 @@ void FileLogger::Init(const std::string &_prefix, const std::string &_filename)
+ if (boost::filesystem::is_directory(logPath))
+ this->logDirectory = logPath.string();
+ else
+- this->logDirectory = logPath.branch_path().string();
++ this->logDirectory = logPath.parent_path().string();
+ }
+
+ /////////////////////////////////////////////////
+
+From 27c2277a2376f9d82755e975ae8b564d9d6ca6ed Mon Sep 17 00:00:00 2001
+From: Steve Peters <scpeters@openrobotics.org>
+Date: Fri, 10 May 2024 17:43:32 +0200
+Subject: [PATCH 2/2] Fix build error in LogPlay.cc as well
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ gazebo/util/LogPlay.cc | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/gazebo/util/LogPlay.cc b/gazebo/util/LogPlay.cc
+index 70cfcc3346..be00820edb 100644
+--- a/gazebo/util/LogPlay.cc
++++ b/gazebo/util/LogPlay.cc
+@@ -414,8 +414,8 @@ common::Time LogPlay::LogEndTime() const
+ /////////////////////////////////////////////////
+ std::string LogPlay::Filename() const
+ {
+- return boost::filesystem::basename(this->dataPtr->filename) +
+- boost::filesystem::extension(this->dataPtr->filename);
++ boost::filesystem::path path(this->dataPtr->filename);
++ return path.stem().string() + path.extension().string();
+ }
+
+ /////////////////////////////////////////////////
diff --git a/sci-electronics/gazebo/files/gv10.patch b/sci-electronics/gazebo/files/gv10.patch
new file mode 100644
index 000000000000..f3ab5eb1e686
--- /dev/null
+++ b/sci-electronics/gazebo/files/gv10.patch
@@ -0,0 +1,45 @@
+From 660ae15f4af1f5ea8d9d50d4a24e4d91a94f9c2d Mon Sep 17 00:00:00 2001
+From: Steve Peters <scpeters@openrobotics.org>
+Date: Tue, 20 Feb 2024 17:25:23 -0800
+Subject: [PATCH] Fix build with graphviz 10.0
+
+Replace TRUE with 1, since the TRUE macro has been removed.
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ gazebo/gui/qgv/QGVSubGraph.cpp | 8 ++++----
+ 1 file changed, 4 insertions(+), 4 deletions(-)
+
+diff --git a/gazebo/gui/qgv/QGVSubGraph.cpp b/gazebo/gui/qgv/QGVSubGraph.cpp
+index 51925aba30..c16b69d065 100644
+--- a/gazebo/gui/qgv/QGVSubGraph.cpp
++++ b/gazebo/gui/qgv/QGVSubGraph.cpp
+@@ -44,13 +44,13 @@ QString QGVSubGraph::name() const
+
+ QGVNode *QGVSubGraph::addNode(const QString &label)
+ {
+- Agnode_t *node = agnode(_sgraph->graph(), NULL, TRUE);
++ Agnode_t *node = agnode(_sgraph->graph(), NULL, 1);
+ if (node == NULL)
+ {
+ qWarning()<<"Invalid sub node :"<<label;
+ return 0;
+ }
+- agsubnode(_sgraph->graph(), node, TRUE);
++ agsubnode(_sgraph->graph(), node, 1);
+
+ QGVNode *item = new QGVNode(new QGVNodePrivate(node), _scene);
+ item->setLabel(label);
+@@ -66,10 +66,10 @@ QGVSubGraph *QGVSubGraph::addSubGraph(const QString &_name, bool cluster)
+ if (cluster)
+ {
+ sgraph = agsubg(_sgraph->graph(),
+- ("cluster_" + _name).toLocal8Bit().data(), TRUE);
++ ("cluster_" + _name).toLocal8Bit().data(), 1);
+ }
+ else
+- sgraph = agsubg(_sgraph->graph(), _name.toLocal8Bit().data(), TRUE);
++ sgraph = agsubg(_sgraph->graph(), _name.toLocal8Bit().data(), 1);
+
+ if (sgraph == NULL)
+ {
diff --git a/sci-electronics/gazebo/gazebo-11.14.0.ebuild b/sci-electronics/gazebo/gazebo-11.14.0.ebuild
index 0a321f61f496..04caee69b302 100644
--- a/sci-electronics/gazebo/gazebo-11.14.0.ebuild
+++ b/sci-electronics/gazebo/gazebo-11.14.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -65,6 +65,8 @@ CMAKE_BUILD_TYPE=RelWithDebInfo
PATCHES=(
"${FILESDIR}/qwt2.patch"
"${FILESDIR}/cmake.patch"
+ "${FILESDIR}/gv10.patch"
+ "${FILESDIR}/boost.patch"
)
src_configure() {
diff --git a/sci-electronics/geda-suite/geda-suite-20171223-r1.ebuild b/sci-electronics/geda-suite/geda-suite-20171223-r2.ebuild
index 2037f821735f..760f14c4017e 100644
--- a/sci-electronics/geda-suite/geda-suite-20171223-r1.ebuild
+++ b/sci-electronics/geda-suite/geda-suite-20171223-r2.ebuild
@@ -1,17 +1,17 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=6
+EAPI=8
HOMEPAGE="http://www.geda.seul.org"
DESCRIPTION="Metapackage for all components for a full-featured gEDA/gaf system"
-IUSE=''
LICENSE="GPL-2"
KEYWORDS="~amd64 ~x86"
SLOT="0"
-RDEPEND="sci-electronics/geda
+RDEPEND="
+ sci-electronics/geda
sci-electronics/gerbv
>=sci-electronics/gnucap-0.35.20091207
>=sci-electronics/gwave-20090213-r1
@@ -20,4 +20,5 @@ RDEPEND="sci-electronics/geda
sci-electronics/ngspice
sci-electronics/gspiceui
>=sci-electronics/gnetman-0.0.1_pre20110124
- sci-electronics/gtkwave"
+ sci-electronics/gtkwave
+"
diff --git a/sci-electronics/geda/Manifest b/sci-electronics/geda/Manifest
index 4639d6ec8227..c84543cdf7b2 100644
--- a/sci-electronics/geda/Manifest
+++ b/sci-electronics/geda/Manifest
@@ -1,2 +1 @@
DIST geda-gaf-1.10.2.tar.gz 14441491 BLAKE2B d6ecc4bc1390ff457396ae406fdeee909418f63d8c50e537215fa0a1131cfda91339f44ddc2c91ca0f3772f4416be1c4448fea45336c78bb601fb9b4df399b14 SHA512 c7beabf019762d9d3b4faa63f1345fb064ebbb6e0203a6c5bcab6b740d20db9f3cedf85c2f99e3ab1cb5396c06886fad1dbd5201eb7bad9e77c03511cf23b1a1
-DIST geda-gaf-1.9.2.tar.gz 10612225 BLAKE2B d09accde32f672b984bc2541404efccc886edb15e985bab287b4a619f11fc10cfd2b3ce3074d82c1a61811c4df9e515ecfbc61bd537c6943188b130062bda97f SHA512 5e26ea2cffffe50bd4f0bdb4594af9cc4510386d29c715c2b4ea99c6522838a08cc2045bf65e8b1da01ab33a266fc9f03da42e28b8cc4d5c030001777ab077e3
diff --git a/sci-electronics/geda/files/geda-1.9.2-fno-common.patch b/sci-electronics/geda/files/geda-1.9.2-fno-common.patch
deleted file mode 100644
index c509b42904a8..000000000000
--- a/sci-electronics/geda/files/geda-1.9.2-fno-common.patch
+++ /dev/null
@@ -1,97 +0,0 @@
---- a/gschem/include/globals.h
-+++ b/gschem/include/globals.h
-@@ -27,7 +27,7 @@
- extern GList *global_window_list;
-
- /* Manager for recently used files */
--GtkRecentManager *recent_manager;
-+extern GtkRecentManager *recent_manager;
-
- /* colors */
- extern GdkColor white;
---- a/gschem/src/globals.c
-+++ b/gschem/src/globals.c
-@@ -28,6 +28,8 @@
- /* window list */
- GList *global_window_list = NULL;
-
-+GtkRecentManager *recent_manager = NULL;
-+
- char *rc_filename = NULL;
- char *output_filename = NULL;
-
---- a/gattrib/include/globals.h
-+++ b/gattrib/include/globals.h
-@@ -88,7 +88,7 @@
- * structs.h
- */
- /*------------------------------------------------------------------*/
--TOPLEVEL *pr_current;
-+extern TOPLEVEL *pr_current;
-
- /*------------------------------------------------------------------*/
- /*!
-@@ -97,7 +97,7 @@ TOPLEVEL *pr_current;
- * callbacks. It is defined in structs.h
- */
- /*------------------------------------------------------------------*/
--SHEET_DATA *sheet_head;
-+extern SHEET_DATA *sheet_head;
-
- /*------------------------------------------------------------------
- * GTKsheet includes: stuff for dealing with windows.
-@@ -106,18 +106,18 @@ SHEET_DATA *sheet_head;
- #define DEFAULT_SPACE 8
- #define NUM_SHEETS 3 /* Components, Nets, and Pins */
-
--GtkWidget *window; /* Main window */
--GtkWidget *notebook;
-+extern GtkWidget *window; /* Main window */
-+extern GtkWidget *notebook;
-
--GtkSheet **sheets; /* These are the spreadsheet widgets themselves */
-+extern GtkSheet **sheets; /* These are the spreadsheet widgets themselves */
-
--GtkWidget **scrolled_windows;
--GtkWidget *entry;
--GtkWidget *location;
--GtkWidget *left_button;
--GtkWidget *center_button;
--GtkWidget *right_button;
--GtkWidget *label;
-+extern GtkWidget **scrolled_windows;
-+extern GtkWidget *entry;
-+extern GtkWidget *location;
-+extern GtkWidget *left_button;
-+extern GtkWidget *center_button;
-+extern GtkWidget *right_button;
-+extern GtkWidget *label;
-
- /* command line switch settings */
- extern int verbose_mode;
---- a/gattrib/src/globals.c
-+++ b/gattrib/src/globals.c
-@@ -34,6 +34,23 @@
- #include "../include/prototype.h" /* function prototypes */
- #include "../include/globals.h"
-
-+TOPLEVEL *pr_current;
-+
-+SHEET_DATA *sheet_head;
-+
-+GtkWidget *window;
-+GtkWidget *notebook;
-+
-+GtkSheet **sheets;
-+
-+GtkWidget **scrolled_windows;
-+GtkWidget *entry;
-+GtkWidget *location;
-+GtkWidget *left_button;
-+GtkWidget *center_button;
-+GtkWidget *right_button;
-+GtkWidget *label;
-+
- /* command line arguments */
- int verbose_mode=FALSE; //!< Reflects the value of the command line flag
- int quiet_mode=FALSE; //!< Reflects the value of the command line flag
diff --git a/sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch b/sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch
deleted file mode 100644
index 631673367801..000000000000
--- a/sci-electronics/geda/files/geda-1.9.2-guile-2.2.patch
+++ /dev/null
@@ -1,16 +0,0 @@
---- geda-gaf-1.9.2/m4/geda-guile.m4
-+++ geda-gaf-1.9.2/m4/geda-guile.m4
-@@ -35,8 +35,13 @@
- GUILE_MIN_TEENY=`echo ${GUILE_MIN_VER} | sed -e 's;.*\.;;'`
-
- _found_pkg_config_guile=yes
-+ PKG_CHECK_MODULES(GUILE, [guile-2.2 >= $GUILE_MIN_VER],
-+ [GUILE_PKG_NAME=guile-2.2], [_found_pkg_config_guile=no])
-+
-+ if test "${_found_pkg_config_guile}" = "no" ; then
- PKG_CHECK_MODULES(GUILE, [guile-2.0 >= $GUILE_MIN_VER],
- [GUILE_PKG_NAME=guile-2.0], [_found_pkg_config_guile=no])
-+ fi
-
- if test "${_found_pkg_config_guile}" = "no" ; then
- PKG_CHECK_MODULES(GUILE, [guile-1.8 >= $GUILE_MIN_VER],
diff --git a/sci-electronics/geda/geda-1.10.2-r1.ebuild b/sci-electronics/geda/geda-1.10.2-r1.ebuild
deleted file mode 100644
index 314a941f9a7f..000000000000
--- a/sci-electronics/geda/geda-1.10.2-r1.ebuild
+++ /dev/null
@@ -1,97 +0,0 @@
-# Copyright 1999-2022 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-DOCS_BUILDER="doxygen"
-DOCS_DEPEND="
- media-gfx/graphviz
- media-gfx/imagemagick
- virtual/latex-base
-"
-inherit autotools docs xdg
-
-MY_PN=${PN}-gaf
-MY_P=${MY_PN}-${PV}
-
-DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
-HOMEPAGE="http://wiki.geda-project.org/geda:gaf"
-SRC_URI="http://ftp.geda-project.org/${MY_PN}/stable/v$(ver_cut 1-2)/${PV}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
-IUSE="debug examples fam nls"
-REQUIRED_USE="${PYTHON_REQUIRED_USE}"
-
-RDEPEND="${PYTHON_DEPS}
- dev-libs/glib:2
- dev-scheme/guile
- sci-electronics/electronics-menu
- x11-libs/cairo
- x11-libs/gdk-pixbuf
- x11-libs/gtk+:2
- x11-libs/pango
- nls? ( virtual/libintl )
- fam? ( app-admin/gamin )
-"
-
-DEPEND="${RDEPEND}
- dev-util/desktop-file-utils
- x11-misc/shared-mime-info"
-BDEPEND="
- sys-apps/groff
- virtual/pkgconfig
- nls? ( sys-devel/gettext )
-"
-
-# Xorn requires python2
-PATCHES=(
- "${FILESDIR}/${P}-drop-xorn.patch"
-)
-
-src_prepare() {
- default
- rm -r xorn || die
-
- if ! use doc ; then
- sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
- fi
- if ! use examples ; then
- sed -i -e 's/\texamples$//' Makefile.in || die
- fi
-
- # add missing GIO_LIB Bug #684870
- sed -i -e 's/gsymcheck_LDFLAGS =/gsymcheck_LDFLAGS = $(GIO_LIBS)/' \
- gsymcheck/src/Makefile.am || die
-
- sed -i -e 's/gnetlist_LDFLAGS =/gnetlist_LDFLAGS = $(GIO_LIBS)/' \
- gnetlist-legacy/src/Makefile.am || die
-
- sed -i -e 's/gschlas_LDFLAGS =/gschlas_LDFLAGS = $(GIO_LIBS)/' \
- utils/gschlas/Makefile.am || die
-
- sed -i -e 's/sarlacc_schem_LDFLAGS =/sarlacc_schem_LDFLAGS = $(GIO_LIBS)/' \
- contrib/sarlacc_schem/Makefile.am || die
-
- # remove compressed files, compressed by portage in install phase
- rm docs/wiki/media/geda/gsch2pcb-libs.tar.gz || die
- rm docs/wiki/media/geda/pcb_plugin_template.tar.gz || die
- rm docs/wiki/media/pcb/plugin_debug_window.tar.gz || die
-
- eautoreconf
-}
-
-src_configure() {
- local myconf=(
- --disable-rpath
- --disable-update-xdg-database
- $(use_enable doc doxygen)
- $(use_enable debug assert)
- $(use_enable nls)
- $(use_with fam libfam)
- )
-
- econf "${myconf[@]}"
-}
diff --git a/sci-electronics/geda/geda-1.10.2-r2.ebuild b/sci-electronics/geda/geda-1.10.2-r2.ebuild
index a1e9c74fae8e..24b2e1b10dd4 100644
--- a/sci-electronics/geda/geda-1.10.2-r2.ebuild
+++ b/sci-electronics/geda/geda-1.10.2-r2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2022 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -15,14 +15,14 @@ MY_PN=${PN}-gaf
MY_P=${MY_PN}-${PV}
DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
-HOMEPAGE="http://wiki.geda-project.org/geda:gaf"
+HOMEPAGE="http://geda-project.org/ http://wiki.geda-project.org/geda:gaf"
SRC_URI="http://ftp.geda-project.org/${MY_PN}/stable/v$(ver_cut 1-2)/${PV}/${MY_P}.tar.gz"
S="${WORKDIR}/${MY_P}"
LICENSE="GPL-2"
SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
-IUSE="debug examples fam nls"
+KEYWORDS="amd64 ~ppc ~x86"
+IUSE="debug fam nls"
REQUIRED_USE="${PYTHON_REQUIRED_USE}"
RDEPEND="${PYTHON_DEPS}
@@ -57,31 +57,15 @@ src_prepare() {
default
rm -r xorn || die
- if ! use doc ; then
- sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
- fi
- if ! use examples ; then
- sed -i -e 's/\texamples$//' Makefile.in || die
- fi
-
- # add missing GIO_LIB Bug #684870
- sed -i -e 's/gsymcheck_LDFLAGS =/gsymcheck_LDFLAGS = $(GIO_LIBS)/' \
- gsymcheck/src/Makefile.am || die
-
- sed -i -e 's/gnetlist_LDFLAGS =/gnetlist_LDFLAGS = $(GIO_LIBS)/' \
- gnetlist-legacy/src/Makefile.am || die
-
- sed -i -e 's/gschlas_LDFLAGS =/gschlas_LDFLAGS = $(GIO_LIBS)/' \
- utils/gschlas/Makefile.am || die
-
- sed -i -e 's/sarlacc_schem_LDFLAGS =/sarlacc_schem_LDFLAGS = $(GIO_LIBS)/' \
- contrib/sarlacc_schem/Makefile.am || die
-
# remove compressed files, compressed by portage in install phase
rm docs/wiki/media/geda/gsch2pcb-libs.tar.gz || die
rm docs/wiki/media/geda/pcb_plugin_template.tar.gz || die
rm docs/wiki/media/pcb/plugin_debug_window.tar.gz || die
+ # -Wmaybe-uninitialized is made fatal, which is not ideal for building
+ # releases. Upstream is working on fixing these anyway.
+ sed -i '/Werror_maybe_uninitialized_IF_SUPPORTED/d' configure.ac || die
+
eautoreconf
}
diff --git a/sci-electronics/geda/geda-1.9.2-r1.ebuild b/sci-electronics/geda/geda-1.9.2-r1.ebuild
deleted file mode 100644
index 06fbdeff605a..000000000000
--- a/sci-electronics/geda/geda-1.9.2-r1.ebuild
+++ /dev/null
@@ -1,95 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-inherit autotools xdg
-
-MY_PN=${PN}-gaf
-MY_P=${MY_PN}-${PV}
-
-DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
-HOMEPAGE="http://wiki.geda-project.org/geda:gaf"
-SRC_URI="http://ftp.geda-project.org/${MY_PN}/unstable/v$(ver_cut 1-2)/${PV}/${MY_P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="amd64 ~ppc ~x86"
-IUSE="debug doc examples nls stroke threads"
-
-RDEPEND="
- dev-libs/glib:2
- dev-scheme/guile
- sci-electronics/electronics-menu
- x11-libs/cairo
- x11-libs/gdk-pixbuf
- x11-libs/gtk+:2
- x11-libs/pango
- nls? ( virtual/libintl )
- stroke? ( dev-libs/libstroke )"
-
-DEPEND="${RDEPEND}
- dev-util/desktop-file-utils
- x11-misc/shared-mime-info"
-BDEPEND="
- sys-apps/groff
- virtual/pkgconfig
- nls? ( sys-devel/gettext )"
-
-S="${WORKDIR}/${MY_P}"
-
-PATCHES=(
- "${FILESDIR}"/${P}-guile-2.2.patch
- "${FILESDIR}"/${P}-fno-common.patch
-)
-
-src_prepare() {
- default
-
- if ! use doc ; then
- sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
- fi
- if ! use examples ; then
- sed -i -e 's/\texamples$//' Makefile.in || die
- fi
-
- # add missing GIO_LIB Bug #684870
- sed -i -e 's/gsymcheck_LDFLAGS =/gsymcheck_LDFLAGS = $(GIO_LIBS)/' \
- gsymcheck/src/Makefile.am || die
-
- sed -i -e 's/gnetlist_LDFLAGS =/gnetlist_LDFLAGS = $(GIO_LIBS)/' \
- gnetlist/src/Makefile.am || die
-
- sed -i -e 's/gschlas_LDFLAGS =/gschlas_LDFLAGS = $(GIO_LIBS)/' \
- utils/gschlas/Makefile.am || die
-
- sed -i -e 's/sarlacc_schem_LDFLAGS =/sarlacc_schem_LDFLAGS = $(GIO_LIBS)/' \
- contrib/sarlacc_schem/Makefile.am || die
-
- rm docs/wiki/media/geda/gsch2pcb-libs.tar.gz || die
-
- eautoreconf
-}
-
-src_configure() {
- local myconf=(
- --disable-doxygen
- --disable-rpath
- --disable-update-xdg-database
- $(use_enable debug assert)
- $(use_enable nls)
- $(use_enable threads threads posix)
- $(use_with stroke libstroke)
- )
-
- econf "${myconf[@]}"
-}
-
-src_test() {
- emake -j1 check
-}
-
-src_install() {
- default
- find "${ED}" -name '*.la' -delete || die
-}
diff --git a/sci-electronics/geda/metadata.xml b/sci-electronics/geda/metadata.xml
index 41090aecc004..db3ca30f3bbe 100644
--- a/sci-electronics/geda/metadata.xml
+++ b/sci-electronics/geda/metadata.xml
@@ -18,7 +18,4 @@
The gEDA/gaf suite (this package) provides schematic capture,
netlisting, bill of materials generation, and many other features.
</longdescription>
- <use>
- <flag name="stroke">enable mouse gesture support</flag>
- </use>
</pkgmetadata>
diff --git a/sci-electronics/gerbv/gerbv-2.7.0.ebuild b/sci-electronics/gerbv/gerbv-2.7.0.ebuild
index 8bca34e8cf4c..6178a2b00050 100644
--- a/sci-electronics/gerbv/gerbv-2.7.0.ebuild
+++ b/sci-electronics/gerbv/gerbv-2.7.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit xdg
DESCRIPTION="A RS-274X (Gerber) and NC drill (Excellon) file viewer"
HOMEPAGE="http://gerbv.geda-project.org/"
-SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
index a6d889450929..e69dd5a5d142 100644
--- a/sci-electronics/ghdl/Manifest
+++ b/sci-electronics/ghdl/Manifest
@@ -1 +1,2 @@
-DIST ghdl-3.0.0.tar.gz 7160245 BLAKE2B 685d8976db48dd6d7074540ff24fae11e8d75f2b0e91de3095b356c9ed1e8dd762c486b7fb1df5a874a653181c6d4f4be4f8e3f5881d10db941c467a95590f45 SHA512 11b638d0ae757ce2e247ab438c15a8f5cd78c3a025ba6272aa05df548d2a7276c25dbbf83b846284ded8c9864eca3dcdb8342cb532faecd01e7cefaada524ab0
+DIST ghdl-4.0.0.tar.gz 7683124 BLAKE2B 2b43f1a24c9fb30f754ae6326b21fd52991f3bd69fc1c2dfbd3064d950cef74f7e66f2a846778e6429fbfae07d349f913993d91c52ec53cdad894b06f810cb03 SHA512 95b08274f2ed54556fbe2e72ce52605d9ca8269b535306348d25a259615a0610b59a61fb4458e0c1e548570361af15d45d89941bf4ab4afd2fafb10b07e69cae
+DIST ghdl-4.1.0.tar.gz 7704716 BLAKE2B d69791d947ed11b62fac8e076c3b9e1bad606a0de57e8d5bd67c9a8f7ea0cad73643085efbaaa06fabdd5900366334b63385589da72f4db488f5b27b142a76f8 SHA512 0a3a465f7f8ed7fba9b81b5dec2903bf82731c97a127666e7644286c9ea80078354be4e6bf8947a6ef631b646dc7e8e69dce2d9a467ac36ee03c67d9335a2703
diff --git a/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch b/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch
index 7730d380494d..91cb22d6035a 100644
--- a/sci-electronics/ghdl/files/ghdl-3.0.0-no-pyunit.patch
+++ b/sci-electronics/ghdl/files/ghdl-4.0.0_pre20231218-no-pyunit.patch
@@ -1,14 +1,16 @@
---- a/testsuite/testsuite.sh
+diff --git a/testsuite/testsuite.sh.orig b/testsuite/testsuite.sh
+index 0c1049f..52da6db 100755
+--- a/testsuite/testsuite.sh.orig
+++ b/testsuite/testsuite.sh
-@@ -129,9 +129,10 @@ do_test() {
-
+@@ -130,9 +130,10 @@ do_test() {
pyunit)
# The Python Unit testsuite: regression testsuite for Python bindings to libghdl
+ # pyunit/dom fails with python 3.12
- gstart "[GHDL - test] pyunit"
-- PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit
+- PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit/lsp pyunit/libghdl
- gend
+ # gstart "[GHDL - test] pyunit"
-+ # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit
++ # PYTHONPATH=$(pwd)/.. ${PYTHON:-python3} -m pytest -vsrA pyunit/lsp pyunit/libghdl
+ # gend
+ echo "Python Unit testsuite is disabled!"
;;
diff --git a/sci-electronics/ghdl/ghdl-3.0.0.ebuild b/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
index 6f2fa17fb6c8..7fb1396f35c1 100644
--- a/sci-electronics/ghdl/ghdl-3.0.0.ebuild
+++ b/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
@@ -1,10 +1,10 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
-ADA_COMPAT=( gnat_2021 )
-LLVM_MAX_SLOT=15 # Check "configure" script for supported LLVM versions.
+ADA_COMPAT=( gnat_2021 gcc_13 )
+LLVM_MAX_SLOT=17 # Check "configure" script for supported LLVM versions.
inherit ada edo llvm toolchain-funcs
@@ -12,12 +12,14 @@ DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
HOMEPAGE="https://ghdl.github.io/ghdl/
https://github.com/ghdl/ghdl/"
-if [[ ${PV} == *9999* ]] ; then
+if [[ "${PV}" == *9999* ]] ; then
inherit git-r3
+
EGIT_REPO_URI="https://github.com/ghdl/${PN}.git"
else
SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
-> ${P}.tar.gz"
+
KEYWORDS="amd64 ~x86"
fi
@@ -37,7 +39,7 @@ BDEPEND="
dev-util/patchelf
"
-PATCHES=( "${FILESDIR}"/${PN}-3.0.0-no-pyunit.patch )
+PATCHES=( "${FILESDIR}/${PN}-4.0.0_pre20231218-no-pyunit.patch" )
pkg_setup() {
ada_pkg_setup
@@ -55,11 +57,14 @@ src_configure() {
tc-export CC CXX
local -a myconf=(
+ # Build.
--disable-werror
+ # Install location.
--libdir=$(get_libdir)
--prefix=/usr
+ # Features.
--enable-libghdl
--enable-synth
)
@@ -77,3 +82,9 @@ src_compile() {
patchelf --set-soname libghw.so lib/libghw.so || die
}
+
+src_install() {
+ default
+
+ find "${ED}" -type f -name '*.a' -delete || die
+}
diff --git a/sci-electronics/ghdl/ghdl-4.1.0.ebuild b/sci-electronics/ghdl/ghdl-4.1.0.ebuild
new file mode 100644
index 000000000000..7fb1396f35c1
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-4.1.0.ebuild
@@ -0,0 +1,90 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+ADA_COMPAT=( gnat_2021 gcc_13 )
+LLVM_MAX_SLOT=17 # Check "configure" script for supported LLVM versions.
+
+inherit ada edo llvm toolchain-funcs
+
+DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
+HOMEPAGE="https://ghdl.github.io/ghdl/
+ https://github.com/ghdl/ghdl/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/ghdl/${PN}.git"
+else
+ SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
+ -> ${P}.tar.gz"
+
+ KEYWORDS="amd64 ~x86"
+fi
+
+LICENSE="GPL-2+"
+SLOT="0"
+IUSE="llvm"
+REQUIRED_USE="${ADA_REQUIRED_USE}"
+
+RDEPEND="
+ ${ADA_DEPS}
+ llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-util/patchelf
+"
+
+PATCHES=( "${FILESDIR}/${PN}-4.0.0_pre20231218-no-pyunit.patch" )
+
+pkg_setup() {
+ ada_pkg_setup
+
+ use llvm && llvm_pkg_setup
+}
+
+src_prepare() {
+ default
+
+ sed -i "s|ar rc|$(tc-getAR) rc|g" Makefile.in || die
+}
+
+src_configure() {
+ tc-export CC CXX
+
+ local -a myconf=(
+ # Build.
+ --disable-werror
+
+ # Install location.
+ --libdir=$(get_libdir)
+ --prefix=/usr
+
+ # Features.
+ --enable-libghdl
+ --enable-synth
+ )
+
+ if use llvm ; then
+ myconf+=( --with-llvm-config=llvm-config )
+ fi
+
+ # Not a autotools script!
+ edo sh ./configure "${myconf[@]}"
+}
+
+src_compile() {
+ default
+
+ patchelf --set-soname libghw.so lib/libghw.so || die
+}
+
+src_install() {
+ default
+
+ find "${ED}" -type f -name '*.a' -delete || die
+}
diff --git a/sci-electronics/gsmc/gsmc-1.1-r3.ebuild b/sci-electronics/gsmc/gsmc-1.1-r3.ebuild
index ebd74cd54aa1..7abf1fbc2832 100644
--- a/sci-electronics/gsmc/gsmc-1.1-r3.ebuild
+++ b/sci-electronics/gsmc/gsmc-1.1-r3.ebuild
@@ -1,9 +1,9 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
-inherit autotools
+inherit autotools flag-o-matic
DESCRIPTION="A GTK program for doing Smith Chart calculations"
HOMEPAGE="https://www.qsl.net/ik5nax/"
@@ -30,6 +30,16 @@ src_prepare() {
eautoreconf
}
+src_configure() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/862456
+ #
+ # Upstream software dates to 2004 with no sign of activity.
+ filter-lto
+
+ default
+}
+
src_install() {
emake DESTDIR="${D}" install
dodoc AUTHORS NEWS README TODO
diff --git a/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild b/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild
index 28ce47c011f6..35d1e4597f47 100644
--- a/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild
+++ b/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit autotools flag-o-matic gnome2
DESCRIPTION="GTK based loudspeaker enclosure and crossovernetwork designer"
HOMEPAGE="http://gspeakers.sourceforge.net/"
-SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${P}.tar.gz"
LICENSE="LGPL-2.1"
SLOT="0"
diff --git a/sci-electronics/gspiceui/Manifest b/sci-electronics/gspiceui/Manifest
index 8e067dce86db..b15a5fcc43e7 100644
--- a/sci-electronics/gspiceui/Manifest
+++ b/sci-electronics/gspiceui/Manifest
@@ -1,2 +1,2 @@
-DIST gspiceui-v1.1.00.tar.gz 1048236 BLAKE2B cc3d14137517cd26687dabac7e001e7518fee91aedc74f1995ac763873345f2965c3edb4e037ecb6ac8ca874289b2e658fe3185d95b3eeaf2b349efab151f37b SHA512 46ccf3dd7a5dd9ec1cac1eb742187ecab15a6c0033467d52a44c916e239696faf7b8797e1a155191cf0ed37b04619b0666cff51e78912a06ecd2c63d377cba93
+DIST gspiceui-1.3.30_pre20240321.zip 80103549 BLAKE2B 5cdbd2338dd057d73a01572e75a7af145bca99f3e9339d259ae3615076e26a5c1614eecdd1e32cd669ecdd2a5cc1e3934dc3d3f1b36980c7a2eed7c6c15e1bb6 SHA512 736f7eafbaa26c3d9b3fa0df1ae5071adf21c0716519a99a0b9d199aa10a0dd402273de51d2e16b07be4c145ab2d34e133c515a8cfbb44068aa46cdc36a20658
DIST gspiceui-v1.2.87.tar.gz 1089733 BLAKE2B c1801bfebd5d42fe9c1ab26e6fd0cb06b56cac53bbad272522896325e5fd979e6fcc63d6f99262b152180f249b5b2d4aa119aa83254a2fc07256574c9ebaef10 SHA512 081d04c39f4fb96139f12fa063dfb888e036948a00a6b55de3b04a49b2b4af01b45fd9b7f903855d798a71957452550dfa8c8505c167c239e27ada29cb6fa747
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
deleted file mode 100644
index b6c3d36a544e..000000000000
--- a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
+++ /dev/null
@@ -1,26 +0,0 @@
---- a/src/Makefile
-+++ b/src/Makefile
-@@ -69,13 +69,7 @@
- # -Ofast Optimize till it hurts : "-O3" + enable opts not valid for all standard-compliants
- # -Os Optimize for size
- # -Og Optimize debugging experience but don't break debugging
--ifeq ($(GSPICEUI_DBG),0)
-- # Options for release (not using -Wall since it's GCC specific)
-- CXXFLAGS := -O1 -pipe $(shell $(WXCFG) --cxxflags)
--else
-- # Options for development
-- CXXFLAGS := -g -Og -Wall -Wextra -pipe $(shell $(WXCFG) --cxxflags)
--endif
-+ CXXFLAGS += $(shell $(WXCFG) --cxxflags)
-
- # The following suppresses spurious warnings from gcc with wxWidgets v2.8.12
- ifeq ($(GSPICEUI_WXLIB),2.8)
-@@ -134,7 +128,7 @@
- # -o specify the output file name
-
- $(BINDIR)/$(PROG) : $(OBJS)
-- $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
-+ $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
- ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
- cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
- endif
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch
new file mode 100644
index 000000000000..531491829bd3
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch
@@ -0,0 +1,50 @@
+--- a/src/Makefile.old 2024-06-21 11:25:45.990728109 +0200
++++ b/src/Makefile 2024-06-21 11:33:20.289571247 +0200
+@@ -46,8 +46,8 @@
+ #***************************************************************************************************
+
+ # Which compiler and linker (eg. g++ or clang++)
+-CXX = g++
+-LD = g++
++CXX ?= g++
++LD ?= g++
+ ifneq ($(GSPICEUI_MSWIN),0)
+ LD += -static-libstdc++ -static-libgcc
+ WINDRES = windres
+@@ -108,17 +108,7 @@
+ # -fabi-version=N Use version N of the C++ ABI (this choice must match the wxWidgets library)
+
+ CXXFLAGS := -std=c++17 -fabi-version=14
+-ifeq ($(GSPICEUI_DEBUG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS += -O3
+-else
+- # Options for development
+- CXXFLAGS += -g3 -O0 -Wall -Wextra -Wpedantic
+-
+- # The following warning has been disabled because I don't know how to fix it
+- CXXFLAGS += -Wno-overloaded-virtual
+-endif
+-CXXFLAGS += -pipe $(shell $(WXCFG) --cxxflags)
++CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # I like to compile using the option "-Wall" etc. however tests that break wxWidgets are turned off
+ ifneq ($(GSPICEUI_DEBUG),0)
+@@ -231,7 +221,7 @@
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(LD) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CXX) -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
+@@ -296,7 +286,7 @@
+
+ # Compiler options
+
+-test_% : CXXFLAGS = -Wall -g -pipe $(shell $(WXCFG) --cxxflags)
++test_% : CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+ test_% : CXXFLAGS += -D $(shell echo $@ | tr "[:lower:]" "[:upper:]")
+ # Libraries
+ test_% : LIBS = $(shell $(WXCFG) --libs core,base)
diff --git a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
deleted file mode 100644
index daaf5cffbd0f..000000000000
--- a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
+++ /dev/null
@@ -1,85 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-WX_GTK_VER="3.0"
-inherit desktop flag-o-matic toolchain-funcs wxwidgets
-
-MY_P="${PN}-v${PV}0"
-
-DESCRIPTION="GUI frontend for Ngspice and Gnucap"
-HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
-
-LICENSE="GPL-3"
-SLOT="0"
-KEYWORDS="amd64 ~x86"
-IUSE="examples schematics waveform"
-
-DEPEND="
- x11-libs/wxGTK:${WX_GTK_VER}[X]
- sci-electronics/electronics-menu"
-RDEPEND="
- ${DEPEND}
- || (
- sci-electronics/ngspice
- sci-electronics/gnucap
- )
- waveform? ( sci-electronics/gwave )
- schematics? ( sci-electronics/geda )"
-
-PATCHES=(
- # Use Gentoo LDFLAGS and CXXFLAGS
- "${FILESDIR}"/${P}-flags.patch
-)
-
-src_prepare() {
- default
-
- # Adjusting the doc path at src/main/HelpTasks.cpp
- sed -i -e \
- "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
- src/main/HelpTasks.cpp || die
-
- # Adjusting call to gwave program
- sed -i -e "s/gwave2/gwave/g" src/TypeDefs.hpp || die
-}
-
-src_configure() {
- setup-wxwidgets
-
- # bug 553968
- replace-flags -O? -O1
-
- default
-}
-
-src_compile() {
- emake CXX="$(tc-getCXX)"
-}
-
-src_install() {
- dobin bin/gspiceui
-
- HTML_DOCS=( html/*.html html/*.jpg html/*.png )
- einstalldocs
- dodoc ChangeLog ReadMe ToDo release-notes-v1.1.00.txt
- doman gspiceui.1
-
- # installing examples and according model and symbol files
- use examples && dodoc -r lib sch
-
- newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm
- make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
-}
-
-pkg_postinst() {
- if use examples ; then
- elog "If you want to use the examples, copy and extract from"
- elog "${EROOT}/usr/share/doc/${PF} the sch and lib directory"
- elog "side by side to your home directory to be able"
- elog "to generate the netlists as normal user."
- fi
-}
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild b/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
index d5377a288285..457548d8a92c 100644
--- a/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
+++ b/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2022 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -10,12 +10,12 @@ MY_P="${PN}-v${PV}"
DESCRIPTION="GUI frontend for Ngspice and Gnucap"
HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
S="${WORKDIR}/${MY_P}"
LICENSE="GPL-3"
SLOT="0"
-KEYWORDS="~amd64 ~x86"
+KEYWORDS="amd64 ~x86"
IUSE="examples"
DEPEND="
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild b/sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild
index 35a1c7747a8f..9b8cb5aa5a98 100644
--- a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild
+++ b/sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -6,12 +6,14 @@ EAPI=8
WX_GTK_VER="3.2-gtk3"
inherit desktop optfeature wxwidgets xdg
-MY_P="${PN}-v${PV}"
-
DESCRIPTION="GUI frontend for Ngspice and Gnucap"
HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
+#SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
+MY_REV="382"
+# SF source is temporal
+#SRC_URI="https://sourceforge.net/code-snapshots/svn/g/gs/${PN}/code/${PN}-code-r${MY_REV}-trunk.zip -> ${P}.zip"
+SRC_URI="https://dev.gentoo.org/~pacho/${PN}/${PN}-code-r${MY_REV}-trunk.zip -> ${P}.zip"
+S="${WORKDIR}/${PN}-code-r${MY_REV}-trunk"
LICENSE="GPL-3"
SLOT="0"
@@ -29,29 +31,23 @@ RDEPEND="
sci-electronics/gnucap
)
"
+BDEPEND="app-arch/unzip"
PATCHES=(
# Use Gentoo LDFLAGS and CXXFLAGS
+ # https://sourceforge.net/p/gspiceui/bugs/30/
"${FILESDIR}"/${P}-respect-users-flags.patch
)
-src_prepare() {
- default
-
- # Adjusting the doc path at src/main/FrmHtmlVwr.cpp
- sed -i -e \
- "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
- src/main/FrmHtmlVwr.cpp || die
-}
-
src_configure() {
setup-wxwidgets
default
}
src_compile() {
- # GSPICEUI_WXLIB=3.0 also works for 3.2
- emake GSPICEUI_WXLIB=3.0
+ export HOME="${T}"
+ mkdir -p "${T}/.config"
+ emake GSPICEUI_WXLIB=3.2 GSPICEUI_DEBUG=0
}
src_install() {
@@ -59,7 +55,7 @@ src_install() {
einstalldocs
dodoc html/*.html html/*.jpg html/*.png
- dodoc ChangeLog ReadMe ToDo release-notes-v${PV}.txt
+ dodoc ChangeLog ReadMe ToDo
doman gspiceui.1
# installing examples and according model and symbol files
diff --git a/sci-electronics/gspiceui/metadata.xml b/sci-electronics/gspiceui/metadata.xml
index c0d060cb2ffc..b4f5b4f99af0 100644
--- a/sci-electronics/gspiceui/metadata.xml
+++ b/sci-electronics/gspiceui/metadata.xml
@@ -5,10 +5,6 @@
<email>sci-electronics@gentoo.org</email>
<name>Gentoo Electronics Project</name>
</maintainer>
- <use>
- <flag name="schematics">Use <pkg>sci-electronics/geda</pkg> for schematics editing</flag>
- <flag name="waveform">Use <pkg>sci-electronics/gwave</pkg> for waveform display</flag>
- </use>
<upstream>
<remote-id type="sourceforge">gspiceui</remote-id>
</upstream>
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
index 62fd0865a965..f9b8df0f1954 100644
--- a/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
+++ b/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit toolchain-funcs xdg
DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
HOMEPAGE="http://gtkwave.sourceforge.net/"
-SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${P}.tar.gz"
LICENSE="GPL-2 MIT"
SLOT="0"
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
index 931882a1de78..637b98a1bdc6 100644
--- a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
+++ b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -7,7 +7,7 @@ inherit toolchain-funcs xdg
DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
HOMEPAGE="http://gtkwave.sourceforge.net/"
-SRC_URI="mirror://sourceforge/${PN}/${PN}-gtk3-${PV}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${PN}-gtk3-${PV}.tar.gz"
LICENSE="GPL-2 MIT"
SLOT="0"
diff --git a/sci-electronics/gwave/gwave-20190116.ebuild b/sci-electronics/gwave/gwave-20190116.ebuild
index e86b222f850b..6c3e20e41063 100644
--- a/sci-electronics/gwave/gwave-20190116.ebuild
+++ b/sci-electronics/gwave/gwave-20190116.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit autotools desktop xdg-utils
DESCRIPTION="Analog waveform viewer for SPICE-like simulations"
HOMEPAGE="http://gwave.sourceforge.net"
-SRC_URI="mirror://sourceforge/${PN}/gwave3/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/gwave3/${P}.tar.gz"
LICENSE="GPL-2"
KEYWORDS="amd64 ~x86"
diff --git a/sci-electronics/irsim/Manifest b/sci-electronics/irsim/Manifest
index 144ec603afab..9bbbd570fb56 100644
--- a/sci-electronics/irsim/Manifest
+++ b/sci-electronics/irsim/Manifest
@@ -1 +1,2 @@
+DIST irsim-9.7.93-patches.tar.xz 8736 BLAKE2B 3377e8d0c7f24227930ff233b9b17f19033b3e1506ab2f72634255ce00be98841921fe68b51201f8a399d4edb4d43de00ebbe31065b5e79ef462775affc12764 SHA512 c814428d1c71e8fc69f2b19d5e69886f54ae054134c07ad72e0187b04f1a99a0267aa3c33982a264d7132bd93057cffe0aa325bd211d551e39597d5737150989
DIST irsim-9.7.93.tgz 465721 BLAKE2B f40e1216f51a51a38ee52628532989ee307db1ff3b0daa4f047a1a3eb04bd49d903382f67c1c4bae4366147f4448eb9699cdc47cffe712fa70a9d34c5bf13d00 SHA512 441a803935c178bdd663360df058142c07d91aecd158d6be8f10b670fc2a295e79839914de6a85ced58a79591625c514e2ceabe87486092d8a1784c7e47a02b3
diff --git a/sci-electronics/irsim/irsim-9.7.93-r1.ebuild b/sci-electronics/irsim/irsim-9.7.93-r1.ebuild
new file mode 100644
index 000000000000..38552dd190d4
--- /dev/null
+++ b/sci-electronics/irsim/irsim-9.7.93-r1.ebuild
@@ -0,0 +1,46 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="IRSIM is a \"switch-level\" simulator"
+HOMEPAGE="http://opencircuitdesign.com/irsim/"
+SRC_URI="http://opencircuitdesign.com/irsim/archive/${P}.tgz"
+SRC_URI+=" https://dev.gentoo.org/~sam/distfiles/${CATEGORY}/${PN}/${P}-patches.tar.xz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+
+RDEPEND="
+ dev-lang/tcl:=
+ dev-lang/tk:=
+"
+DEPEND="${RDEPEND}"
+BDEPEND="app-shells/tcsh"
+
+PATCHES=(
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.72-ldflags.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.79-datadir.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.93-clean-makefile.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.93-C99-port.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.93-Makefile.patch
+)
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ cd scripts || die
+ econf
+}
+
+pkg_postinst() {
+ einfo
+ einfo "You will probably need to add to your ~/.Xdefaults"
+ einfo "the following line:"
+ einfo "irsim.background: black"
+ einfo
+ einfo "This is needed because Gentoo from default sets a"
+ einfo "grey background which makes impossible to see the"
+ einfo "simulation (white line on light gray background)."
+ einfo
+}
diff --git a/sci-electronics/irsim/metadata.xml b/sci-electronics/irsim/metadata.xml
index 59be6adb7fb2..c9ff90a10614 100644
--- a/sci-electronics/irsim/metadata.xml
+++ b/sci-electronics/irsim/metadata.xml
@@ -12,4 +12,7 @@
little bit more realistic than the ideal, using the RC time constants
to predict the relative timing of events.
</longdescription>
+ <upstream>
+ <remote-id type="github">RTimothyEdwards/irsim</remote-id>
+ </upstream>
</pkgmetadata>
diff --git a/sci-electronics/iverilog/iverilog-10.3.ebuild b/sci-electronics/iverilog/iverilog-10.3.ebuild
index ad66c40b299a..f79b88bc74f0 100644
--- a/sci-electronics/iverilog/iverilog-10.3.ebuild
+++ b/sci-electronics/iverilog/iverilog-10.3.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc ~x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -34,8 +34,8 @@ DEPEND="
RDEPEND="${DEPEND}"
BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
+ app-alternatives/yacc
+ app-alternatives/lex
"
PATCHES=(
diff --git a/sci-electronics/iverilog/iverilog-11.0.ebuild b/sci-electronics/iverilog/iverilog-11.0.ebuild
index 216f953bb8fc..1599da817835 100644
--- a/sci-electronics/iverilog/iverilog-11.0.ebuild
+++ b/sci-electronics/iverilog/iverilog-11.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc ~x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -32,8 +32,8 @@ DEPEND="
RDEPEND="${DEPEND}"
BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
+ app-alternatives/yacc
+ app-alternatives/lex
"
PATCHES=(
diff --git a/sci-electronics/iverilog/iverilog-12.0.ebuild b/sci-electronics/iverilog/iverilog-12.0.ebuild
index cc85424ee944..d212b9a78fc9 100644
--- a/sci-electronics/iverilog/iverilog-12.0.ebuild
+++ b/sci-electronics/iverilog/iverilog-12.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 sparc ~x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -32,8 +32,8 @@ DEPEND="
RDEPEND="${DEPEND}"
BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
+ app-alternatives/yacc
+ app-alternatives/lex
"
src_prepare() {
diff --git a/sci-electronics/iverilog/iverilog-9999.ebuild b/sci-electronics/iverilog/iverilog-9999.ebuild
index 43fe2c27ba04..ab2ce78d8adc 100644
--- a/sci-electronics/iverilog/iverilog-9999.ebuild
+++ b/sci-electronics/iverilog/iverilog-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,7 +18,7 @@ if [[ ${PV} == "9999" ]] ; then
EGIT_REPO_URI="https://github.com/steveicarus/${PN}.git"
else
SRC_URI="https://github.com/steveicarus/${PN}/archive/v${GITHUB_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~alpha ~amd64 ~arm ~arm64 ~hppa ~ia64 ~m68k ~mips ~ppc ~ppc64 ~riscv ~s390 ~sparc ~x86"
+ KEYWORDS="~amd64 ~arm ~arm64 ~ppc ~ppc64 ~riscv ~x86"
S="${WORKDIR}/${PN}-${GITHUB_PV}"
fi
@@ -32,8 +32,8 @@ DEPEND="
RDEPEND="${DEPEND}"
BDEPEND="
dev-util/gperf
- sys-devel/bison
- sys-devel/flex
+ app-alternatives/yacc
+ app-alternatives/lex
"
src_prepare() {
diff --git a/sci-electronics/kicad-footprints/Manifest b/sci-electronics/kicad-footprints/Manifest
index 255373ebe0f2..404c5b0d191c 100644
--- a/sci-electronics/kicad-footprints/Manifest
+++ b/sci-electronics/kicad-footprints/Manifest
@@ -1,3 +1,7 @@
+DIST kicad-footprints-7.0.10.tar.bz2 24478270 BLAKE2B dd12467ba7bad3f0ab44164ea4ef6502f44143ec66173d5dadba62ab60559c0e75c4f77d00436ba2f7e1f0b527ae94cd0954fdd568031d049fbb69de56f13c0c SHA512 23fe7018f0f7328dc3d55e9f206d7ee4f4734be768d7024291b9d22c0134f1b887be5baf74fd601454e89a9a282aa9c0ad0ff631f5d29ec2ccac1c30b4dc1b66
+DIST kicad-footprints-7.0.11.tar.bz2 22722611 BLAKE2B f081eee0d8d2f9c691d7326dbc0a2edd329e027ae6602927f87e2e3c645fed1ba008e634a9043710ce552a94b01c28ac88461b83b97b26c225ad901af8535f21 SHA512 0786c08674432d797c7f98a05917ba22cfff4353e7b0e9007d61078cf6f5fc8ddf832e1a1e4d74788c9bf51fe9280ceae2c6051fce2253b5ba31b0a0f74d4511
DIST kicad-footprints-7.0.7.tar.bz2 24411508 BLAKE2B 1abedfbc3dfab302b5f929b01f2a83e83a4fee6b1d5056bf2c3579068c56807c656dea918f4c37fe030b727d3effbc35063f4f4103c4cfa6071f95c22d507597 SHA512 8af1fb371034bd5b22e0a8a896fa61e4eb0bda5b282759e17872bf4b466f49b39aa56ae068ac3d7d5a7453d9a7d511a81317d3f267a90d2764b2b8282f64aef0
-DIST kicad-footprints-7.0.8.tar.bz2 24418267 BLAKE2B d252a75adafbb8ccbe000ad290e1a0ec6044c5662a899812de3466e2fe23d8f3833b54b9c17863ddf26a1e032af404daacdb7848a9517313943b43d1b9ed488e SHA512 984cff22967dd82ec1e4706a61c68bf2357f391c4efccd80dadcae18f71ff0a90fdc61a54b491440da2a2dc7f1a2fc03091e01c9791a919db7a31920ec5b7b02
-DIST kicad-footprints-7.0.9.tar.bz2 24450969 BLAKE2B 56b00cbf682835f03495638231f1683b822f01fd7afe5c5a6e559f0f1a25a5fac20b8860661882b4779a8ccea443e28b4c3419e1039c6082f0134c4901c92848 SHA512 93438748934e630c012d28c46a7001d6139a458dd35d0e56dc77523a3e39249b14fc9e39700512a0d9a97b4e236fcbf0410e7f8506371522c883dee826b628a7
+DIST kicad-footprints-8.0.0.tar.bz2 26563192 BLAKE2B a575c3f7dc00ab14e6b7b9a52b56dfaa08c87aa4d53528fd59bfba3c5adf78e8ae98377cc3087db3dc6ae677046388f011062930ae07c427533b7254b99cbddd SHA512 6ad9863f3469523d48f5daf9b999177b0d6f43c347a390f3f55fcb8700f016c84c3513b1ee3e6f95112b1cadc3f7ee1c6c6f74b44d6b27aaa19f860d79e7e7de
+DIST kicad-footprints-8.0.1.tar.bz2 26591094 BLAKE2B 2df726033e818cfc1abd4fc71c15d3ab63c70d02708f2affe2ec1acc0b7445ec8dca4b4efabff06345df05ba11d6ddc7eb4f60c37c85fb641631f08890958b0a SHA512 940ce1208f6c1b50bdaf28027f66a9aa690855631b5b2bf445c1b277c75ecd46f68c91ef6fc221d69f54d00e42c0a7a56c27daafb83dfb5cc4d486cfa29216bd
+DIST kicad-footprints-8.0.2.tar.bz2 26517366 BLAKE2B d65b1c64f9c009ad8d232198544e351949137d567a4ed77bad6ed46e2e4eefd2dad8437ed8c11992fde4657be25d93e9f3fc69f50be846df480cf393f2dfb6d3 SHA512 b194aa4396209f248d21e089078be17844182275c252b4a9d8a013860d91983589a835c89f7d64e83bbd90ba9dc4ea0254377a04a3ce8b3313400c105e6febd5
+DIST kicad-footprints-8.0.3.tar.bz2 26585619 BLAKE2B ee9383770b4ebcab5cbf7576c71d71694c05760525267167923c3f8dd4d075c87d13402190455f9e7134815ea36ae8ca0fd84b51317f31120e816950cf42db15 SHA512 f61e56a4519708da19f9850f3102a0efbf73ebf276f821c1fc9f57d88f01ac7454002a32b8da7912bdfa2a4c5264254fad5d579329ea5eaf147ef2aade23ecc6
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.8.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.10.ebuild
index ba02cb94ca78..79680e3c930d 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-7.0.8.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.10.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -14,14 +14,12 @@ if [[ ${PV} == 9999 ]]; then
else
SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.9.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild
index ba02cb94ca78..60f7cdb4bc3f 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-7.0.9.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.11.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -19,9 +19,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
index 25c51ef5838b..08b5804b2496 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-7.0.7.ebuild
@@ -19,9 +19,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.0.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.1.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
index c1edf53f4705..7882a2e18c3c 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -19,10 +19,8 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
-RDEPEND=">=sci-electronics/kicad-6.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-footprints/metadata.xml b/sci-electronics/kicad-footprints/metadata.xml
index fefcdb7b619c..64bf9844c919 100644
--- a/sci-electronics/kicad-footprints/metadata.xml
+++ b/sci-electronics/kicad-footprints/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild
new file mode 100644
index 000000000000..5f2feada06fa
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-7.0.10.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.8.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.11.ebuild
index 9fa1015e5396..e47e613dc36e 100644
--- a/sci-electronics/kicad-meta/kicad-meta-7.0.8.ebuild
+++ b/sci-electronics/kicad-meta/kicad-meta-7.0.11.ebuild
@@ -1,11 +1,10 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
HOMEPAGE="http://www.kicad.org"
-SRC_URI=""
LICENSE="metapackage"
SLOT="0"
diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
index 18a9e7a8eeaf..fc543a8c6248 100644
--- a/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
+++ b/sci-electronics/kicad-meta/kicad-meta-7.0.7.ebuild
@@ -5,7 +5,6 @@ EAPI=8
DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
HOMEPAGE="http://www.kicad.org"
-SRC_URI=""
LICENSE="metapackage"
SLOT="0"
diff --git a/sci-electronics/kicad-meta/kicad-meta-7.0.9.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild
index 9fa1015e5396..e47e613dc36e 100644
--- a/sci-electronics/kicad-meta/kicad-meta-7.0.9.ebuild
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.0.ebuild
@@ -1,11 +1,10 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
HOMEPAGE="http://www.kicad.org"
-SRC_URI=""
LICENSE="metapackage"
SLOT="0"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.1.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/metadata.xml b/sci-electronics/kicad-meta/metadata.xml
index d69c4230a5e1..cd288a4d58f5 100644
--- a/sci-electronics/kicad-meta/metadata.xml
+++ b/sci-electronics/kicad-meta/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/kicad-packages3d/Manifest b/sci-electronics/kicad-packages3d/Manifest
index 2e09cf13d900..85bd40d01a6a 100644
--- a/sci-electronics/kicad-packages3d/Manifest
+++ b/sci-electronics/kicad-packages3d/Manifest
@@ -1,3 +1,7 @@
+DIST kicad-packages3d-7.0.10.tar.bz2 773216530 BLAKE2B f45da926aa4621999c166014d6b578ace2b355343b1cf84db26f82084f27f50fd31ec1f76c91486958e6e15f353b0eac647e9251980c03f2b5522838edab5133 SHA512 c1ecf8ccb2f1e70d5981345e1f40bad6a09f1ccae4b5d67d3af3442699a3e99b6ff1a50b07a7530f6fe69d8070bd38138202f2903961afe239f11d99e1b1dd8b
+DIST kicad-packages3d-7.0.11.tar.bz2 774735333 BLAKE2B af24617db4864b4630413132a0cc5643356ea1069f095b52f157083de8d7c4800e47847ff81379669ed2584bf8c5175e54d2cf6df9fe657bcbbe151af9529ea6 SHA512 7eb3699d79a2bff0369bd610fce20c7f128e7b650d7ce5082d28ab2b3683487ef7275c3b8e1927fdddda169917a0550304b563824c5f24f9b731d3ae04727fc6
DIST kicad-packages3d-7.0.7.tar.bz2 772674558 BLAKE2B 9223317ca29e7d09423bc743df93471f4cff65a44113e9946479c9f59ceae86268cad68bf570d08d52e2ad123095ca3e2beb8249297bdb5b0f21c23f65aed9d6 SHA512 ae4d1be2dc9196acbf8e2314886f5639e4b20a922854dfce7a7eceb89cd0497d6649a5d9043870221f585a2fe9c68c2ac4ba4ccf2ede13596e4f89ebb24cc19c
-DIST kicad-packages3d-7.0.8.tar.bz2 773124302 BLAKE2B f60dc091cea4a72f0521d1c56f4e8f9521cf2d6b541502ebbe53b2ffc7b9bd9eac4dd5edfbe5b9e0f2bf8ea77463af79ad2ddb6dbe9310460a9a3d58754d7da7 SHA512 93f122b5e9fb1fc840f98b1d492af70ffd31c865b47b3030258af772ad848b5eda1ee087d81c374ea61e42e9bdca0d2bde299c6adf06a71b0f0458ebc88f9d6a
-DIST kicad-packages3d-7.0.9.tar.bz2 773284884 BLAKE2B 94bedfbe74a22968013eb4bac9c38d27472a40a7b1db0aabb942a3faee44752ccbbca4973de19097ac034816e5f89624d2644addc3bb631b6a885f275ecabb27 SHA512 88b789f838132ededcea7171cdf49871fbd3e91ef076a3330bfa6f05639c5486caba5a99adf68b5bdc8a946aefd8c4e9cca266e233c5350dbaca0d5e446eec2a
+DIST kicad-packages3d-8.0.0.tar.bz2 774767823 BLAKE2B b97f8fa0096a274a836c1f500b5cdcaee1999f8073754c6666196b24c1640042183b37238fef6e01d1a4788ad026f51503cb83d721308e78d53c54ce9000ef0c SHA512 8f62dbf5f6265c1205814ab158458acf27c28f79043f9261c8ddc31c9db47920b693813c4335aa9f3f98bc9bf4a363e6103b7eec2e8b4e5a5d386510dcc121d5
+DIST kicad-packages3d-8.0.1.tar.bz2 773486331 BLAKE2B 40c3166abb029276dc39f44d469231c1df6e271d95a7826e7119bf8c706944ec9b7478019a4f97a7e81350da793642099eddc7be078cda2896ea99d181609340 SHA512 75800ff5d68a8660130cd114365a53ed72f6fc8ed09fda10e0270a519c575ec576936b65184aa957e49d7300abc4250f7bc0b61a98f69c17dd50a4a42bd51ef7
+DIST kicad-packages3d-8.0.2.tar.bz2 775246257 BLAKE2B e6d64f4c19ade481859ae88132d0a697434d127d100cb9c47f846474004bed9b52a6941747ee663935f14882c30a608444f989a298b4dc95774d8da866edd572 SHA512 861b0bf0b1259db2387eddec65fc4d1e730172257f6bd5c91931884fe3ff4e2a8b90dab41e4e6d6304dd1c8280a22d725d0480d8925e58a1c02785fdce472d86
+DIST kicad-packages3d-8.0.3.tar.bz2 785569695 BLAKE2B 7e8a125e37873b0bc4b0ff64e5d331f0c74ebd0e6611d30f2a6f2732fea9b67552ae3ac4de6466d2c64ed21c7af02f80186ad9370c0d97aabb82cb2cb663a76b SHA512 60192eba5413cc76d84f4065420fb8a01a80cb9b5cbfb58ba197ebe10befbdd33d9d4ccb75d33c45de791a8fc635bd1225aaf38ad61c5f1a3ce99d03376e44a0
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.9.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.10.ebuild
index 0814793b5346..0274962e3c8e 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.9.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.10.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -15,10 +15,9 @@ else
SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
S="${WORKDIR}/${PN/3d/3D}-${PV}"
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
-IUSE=""
LICENSE="CC-BY-SA-4.0"
SLOT="0"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.8.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild
index 0814793b5346..ff642dcbe455 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.8.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.11.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,7 +18,6 @@ else
KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
-IUSE=""
LICENSE="CC-BY-SA-4.0"
SLOT="0"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
index a1890abc5b75..0274962e3c8e 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-7.0.7.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,7 +18,6 @@ else
KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
-IUSE=""
LICENSE="CC-BY-SA-4.0"
SLOT="0"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.0.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.1.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
index 0814793b5346..d4b12654f757 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,11 +18,10 @@ else
KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
fi
-IUSE=""
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-7.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-packages3d/metadata.xml b/sci-electronics/kicad-packages3d/metadata.xml
index 68380825c653..310e9a4252ce 100644
--- a/sci-electronics/kicad-packages3d/metadata.xml
+++ b/sci-electronics/kicad-packages3d/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/kicad-symbols/Manifest b/sci-electronics/kicad-symbols/Manifest
index f931a3dd420a..9bc8a440e58f 100644
--- a/sci-electronics/kicad-symbols/Manifest
+++ b/sci-electronics/kicad-symbols/Manifest
@@ -1,3 +1,7 @@
+DIST kicad-symbols-7.0.10.tar.bz2 3119612 BLAKE2B b5957fe4168bd325f7628110ccac1c40d24891c07d8b9618f8c7b1c3c612afd2e1efff82357ca1f4259e7dd06c1a11abf18f29e0e4b1dd8e531b9a80c94f5d8b SHA512 dd9b80a88a8ed10bb42c921f5d56ce4e82e2349b64a23e08f2d75d0903073e2e5132bce63d23d0b71116775392994dd5292742874e87b81bdb2b726f6c4c09f0
+DIST kicad-symbols-7.0.11.tar.bz2 3369887 BLAKE2B a32be647927ea56e5f806a38983001ed31e22495b3e6dd5c63f3f0b69c84c2efdbcc8c5d18aa6ee8060242f1b0ea5c96ca0f2e7c7a98a4cb8c4f69eec1985ae7 SHA512 16e2dc478500f5b7baff0e5205530d14bc5969b2b1cdf7158995f194c7984025edc54c6a3b460ebc352dec1d3eb01e28474b027aa8994adc6958e3d014018263
DIST kicad-symbols-7.0.7.tar.bz2 3026949 BLAKE2B 9f2db4e1e63333fb1ca1625bc7218ca5163e6557f27978215ebc0c858990be09352e62f473928cdd28624a6543d7c0415536e0d09b54c8cc77d17a18caa3e788 SHA512 f66cb91b8192aee70a921f6f7c83e5b1fe2354ced2b7f97ec693af47cfa0eed261e23bb4774029918a991f5b35cb2a65b0831fee097a92bcc8a1e2c22f85fed8
-DIST kicad-symbols-7.0.8.tar.bz2 3043907 BLAKE2B ecf00fcd89e8a5685f1c262e4bbc94baf966a6530a67bf066d7e4c8425f542c6983d8030db4a50e17630baef26eab3d02b7945cc2d3d03edf2e89f8a8590a01d SHA512 4320a4f7cad3c350693ec8d385e8aae25577360e0a46456124bf2fabc6faf10cb73f6abbebbb9b924a3ffbfdd9a1c05257c99776ebd3155fc2ffc1b4fd954ec1
-DIST kicad-symbols-7.0.9.tar.bz2 3054537 BLAKE2B 690b9236f203ec2fde66c7e3170043f9081a187a1e844b660b527a295fe5398b2497327ebd17eef9ab1639493dc6f75c6a4aa57946937d09623b0cb3c0330de2 SHA512 dee2a97e3dea00ad1e586c58ca68c081534e2334edd7f45f5a8deb3193835dfe7c9ac1e180cb0ecab8152cd6c3afc9973da8cf6559667b90f3a5f629bc19f790
+DIST kicad-symbols-8.0.0.tar.bz2 3645950 BLAKE2B 88c3ff83cc9bfa3af347d0bd61d1ed1ed37f7fc37f385238331d71de72def16d39b1480ebb2a828a2182290fd02868b557a45b38b1ce8af26562235334b00f4b SHA512 ede78b1e876a63fe1e6adcb108ccbf31d1e53e9198dbe933ebf0a86efe550f80588e7611f990dde9b5614f37ea7be186a8733df310e3c01a7a43425f478e173a
+DIST kicad-symbols-8.0.1.tar.bz2 3639516 BLAKE2B 0f017f446705b0fe1e3fa1d44881ffef2dfbfc4f7d072d49e8c891f4de857700d29fc59bcadf266850e337655314e931ea19782d7f2dc88d20c556bce605127a SHA512 4acd7e203c6c3c1564569825a5ed17f70e96e92492582b853a5199cc4d3bb0833a1b5c55addc3f3360de5a0f9107b15e358229df2a3714b649cdc97e881ad876
+DIST kicad-symbols-8.0.2.tar.bz2 3638897 BLAKE2B 91c840d5c737a5aff9e90bc2a04f1e7de5c9500c083ef4494b658c6232580928042841773cf939bf0f7f85a1d7059523ccce2e2ca31631831927c41e7e9c7d38 SHA512 d6403e18ff6058e990aa9a272cb711915aa96fcf85b9a95aaa4ea1b26deadba998c395a6eb9d81003b6af626341b94f428f094ab28598f430479961cd4869f5d
+DIST kicad-symbols-8.0.3.tar.bz2 3671038 BLAKE2B 6a76254b87ae14efd0d8e7edf2569755be902f16ef567c5b4bdd12b9c3e75a7a94437942e4affce378467daaa433d2ddb22fe32f7e39e5a60bd52e91faff23e2 SHA512 60ac5249281e783eae58d368b6521dbb5f0ef524a2be81d04b9578e6540baacf597300b5ac67566d2f91befbf67366eb8bb6cd0036b8773ecd753d91f5ab6cf8
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.9.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.10.ebuild
index 26631a5e5f9f..ee13e8526636 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-7.0.9.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.10.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -14,14 +14,12 @@ if [[ ${PV} == 9999 ]]; then
else
SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.8.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild
index 26631a5e5f9f..812d1b0a931e 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-7.0.8.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.11.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -19,9 +19,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
index 96b02687be27..ed18acfa6346 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-7.0.7.ebuild
@@ -19,9 +19,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
RDEPEND=">=sci-electronics/kicad-7.0.0"
if [[ ${PV} == 9999 ]] ; then
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.0.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.1.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
index 52266ac8a79e..4cea180f1254 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -19,10 +19,8 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-IUSE=""
-DEPEND=""
-RDEPEND=">=sci-electronics/kicad-6.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-symbols/metadata.xml b/sci-electronics/kicad-symbols/metadata.xml
index 52d6a3a9dc64..6fcb0257a43a 100644
--- a/sci-electronics/kicad-symbols/metadata.xml
+++ b/sci-electronics/kicad-symbols/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/kicad-templates/Manifest b/sci-electronics/kicad-templates/Manifest
index 4e7afa981cfe..260b48d0c395 100644
--- a/sci-electronics/kicad-templates/Manifest
+++ b/sci-electronics/kicad-templates/Manifest
@@ -1,3 +1,7 @@
+DIST kicad-templates-7.0.10.tar.bz2 1347681 BLAKE2B 0a6b2d06d257a21f32b1d5a5dfb90cf6f84f589b498faf292f0799a14dac8088482d9fa2a6cd55b2c3f9a145795cfeeb19e43b4a376ace22bab4f781fdba08ef SHA512 ee073bb615edb501075c703f60d4ba8015b489fb416d4a7709e552950904fc29b7c1dd32dd6edee2edf766d15cd1fe385c96c5d859ef89498abbb30714dcb919
+DIST kicad-templates-7.0.11.tar.bz2 1348043 BLAKE2B 8aa60440fb2344dbcf2336ed78694a5f07bc167475b0a75ff1095c3b72407feaa2a453bfb8a199529ae48d96bcd005f48b83e38dff1f7ea51005c45f0b8be6a9 SHA512 02248755e4b2cba8e496eb9e8349f6e5a88e11d95afbffae6dd87e423615c50744dc3f47b138ea253bb4b06d5c853d34d1980dadd7e18abbecbd7c032c7d0290
DIST kicad-templates-7.0.7.tar.bz2 1247513 BLAKE2B 7660829ff7474bb703011542a66bb63db9e011f717e86767eb4b09a4b802d64aa785b0283cae75c195059984a0fa0bf7a83cf5c0287850c3df9dbd40ebc4030a SHA512 dc579c72be647db67aac66bf12abe1fbb6763cf9120d325bcd60f321642b05b8b25354ede1e1c1ed31bc44f486d35c027f131230538120c49aa6f0b0e00ad5b2
-DIST kicad-templates-7.0.8.tar.bz2 1247490 BLAKE2B 49aa327896a34b6362decd05e1149e4e418c5e96957bacb7ab68f6488a87d0f9c353d3a4422b0b1c3806403447c389b04062315294dba0501aac1b83575adadd SHA512 e9e16f787df7f428dd449952aafc06d7d7eb87088cea71c4dde5a8e6bf670e473944091f5a9d7dce83bfa10e4d8e0cb6554f23d940c565df65da1fb4eeff288c
-DIST kicad-templates-7.0.9.tar.bz2 1247499 BLAKE2B 64ca21331088c35a03dab8016af102f2fdcd20c51b0debb07842eead5b9e7c80d70c9d446e47dd23d0535557e5fa81655b811fa7fca5df6c7518af8043f6e548 SHA512 5e43c124e5faef5d7691c8fc7f6c2f875e9be0a4555bd8df49553affc9bb50583a3460394c766a507203c244b2ee7b2633fa4c922db8ce3763fe6e5aa9db32a2
+DIST kicad-templates-8.0.0.tar.bz2 1347354 BLAKE2B f24c131e02af8f5dbc4241c3bb812ba0e99e62cd054c2f8e39ac24091c097ee70b85e297c47183dfe598f60d5865e0db2b49101ba77577553f5eec7825cbb7a7 SHA512 636d9b1365f212a98e20d44251fd2e545c8a7bae5be25448c41976ada2bdb0ce8be0a64c9eedd89b13bcdb2c23702c8d3120ffbd89ca2fb36dce8462ad4237d5
+DIST kicad-templates-8.0.1.tar.bz2 1347686 BLAKE2B 20e7e486992de838b40ec289f2e75a929067ef0db048e97789850406f4dfd3617e78882a6557765a5ba27891f177d747ab922b3c33e7c4ad3099fdafc1755731 SHA512 9cbac4593eec461ed39c2f561f852dac321f78a9bc9ae2facd5bca6e4ce3cfcf942a693be7229cbabedeb0cfbedc304b86e302fd397e8babe4fff21c9627ab23
+DIST kicad-templates-8.0.2.tar.bz2 1347684 BLAKE2B 6d95496057d2f612539f57ee15d1fa3dc9a6f5929bdcf58a7a94123304a9b0e6788c56a66ff307ce84e262260ec2fe990a860fda104899447a2d02658821b8f8 SHA512 0b7a8806bb1a1cac5c53a851c09f922524ebfeaa565fa064c90a9ba2bd7871b4d2824c2417e7afa97e11cdc8ee2ccf2c713809829180dc50edbdd009079ff55d
+DIST kicad-templates-8.0.3.tar.bz2 2783001 BLAKE2B 96c51de6dcecc371bb7b7ddef4807a95eb14d87459ec7b65f55bf79f6d4cda926f95a75bdda66b468e4f512b7f17b179f58cb307c69af9337e450f675071bf0e SHA512 267ffd98a1b18392257b7f35b938420f36da5022c40e5b86da18cb96636cbf71b52b62f2f4a70eae066c89751803f2a9fadb5f4f99940879e6da2eacac97787c
diff --git a/sci-electronics/kicad-templates/kicad-templates-7.0.9.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.10.ebuild
index bffd6445a28e..0efdfba630c0 100644
--- a/sci-electronics/kicad-templates/kicad-templates-7.0.9.ebuild
+++ b/sci-electronics/kicad-templates/kicad-templates-7.0.10.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -13,7 +13,7 @@ if [[ ${PV} == 9999 ]]; then
else
SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
LICENSE="CC-BY-SA-4.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-7.0.8.ebuild b/sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild
index bffd6445a28e..5bbfee81722b 100644
--- a/sci-electronics/kicad-templates/kicad-templates-7.0.8.ebuild
+++ b/sci-electronics/kicad-templates/kicad-templates-7.0.11.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.0.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.1.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
index db3c87def1eb..56a84f2bc09a 100644
--- a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
+++ b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -19,4 +19,4 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-6.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/metadata.xml b/sci-electronics/kicad-templates/metadata.xml
index 4005d5615c79..15d540d17fa6 100644
--- a/sci-electronics/kicad-templates/metadata.xml
+++ b/sci-electronics/kicad-templates/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest
index 24d6031ef566..145c40253349 100644
--- a/sci-electronics/kicad/Manifest
+++ b/sci-electronics/kicad/Manifest
@@ -1,3 +1,7 @@
+DIST kicad-7.0.10.tar.bz2 43991960 BLAKE2B 3e21f575e41a6036ff2a9c3ab17472e51c73612ddadbf88631717b43024e604a8607fff013828823ff4db4d4263ac8e3bcc3b069e3efdfdc66fdb627f60f192a SHA512 f8005e6cb685c4cdc3982eec644f6d4a115138eaa19ca0bd2e6ae07613eac9c98fd7cdc181dd83e6c6d3c4b73ff6a54261ac5448a2a9c53298bc611c1bf408e1
+DIST kicad-7.0.11.tar.bz2 44083805 BLAKE2B d923581f49b3cf48776de56ba12ddc99e942269c0c14950bb6b3413fb616af74a1b4e7095fc746e20125096f7d2e4c7a9f271dd58918b22fa53e8ce7b78fa6f6 SHA512 28d5560ead989dcfb6d3b07e37443de3bf1883bd56604ae20f70c0a068504fd8745f7011507d417f487b86071cec130ac8eb7bda7513d096e78dcc3dc98585aa
DIST kicad-7.0.7.tar.bz2 44055973 BLAKE2B 4169e111b8d2086572aa2979f09a8119dbc9caf34790ec5e5f3e0972d0a2e93dab6a1c814dc35ae40d5962e7ba93bad83d5930e9dba9b9f2e52f307378519714 SHA512 82eff4a16847c657ae3102af7914539bf7404e8856ca8c94557533a3ddd7b40ff4571b351dab48349d503d413cf293880245a97238516add83e79890325f1067
-DIST kicad-7.0.8.tar.bz2 43996328 BLAKE2B 929781f06c7ef9eac16fc1f7c89c07e481f04f3da68a6d54607f8671f0daf271c8b21e8eff9efbb5adfdc8a4e1b5b1cc60fc5f9fc4aed9557f15eb6cccc5e21e SHA512 e88793a4b1e1b52bf5cfb8650f8b6004020cfb61dfaa6cbf64747d9db56a74754ea281a78f58106dbdf78f28b27e6bf944ef46ab0f3d63171a0147793d776bc2
-DIST kicad-7.0.9.tar.bz2 43964032 BLAKE2B 78f7ad1409a60e9d577c97b57745e5aaa4c104ff8b7beeee6bcd4bedac2aa05b1fb4362ccb8a9ec01a322d420214a44bda30b0695a4bf02ea001f733c8671c7d SHA512 373f744d1295a04862cb9997541c3180ef3f11cd313b4bf8ff31024fe2dca1cbb5b0b15bc72f645a50fd78a0f9c4c840c8ed826e3df8b107d0d172c4c9020f2d
+DIST kicad-8.0.0.tar.bz2 72430414 BLAKE2B 52bad016249563d9668f08da2103e47c7db706e6749e23ff5c59fd423673db614a1c8681cd0e7927571fe157644e142929891647964c48f45b219e72166c3ebc SHA512 52e605c10fbac0a3c6208f92ab9e567de9074e41495db9619631765a0f20e2da23a2d45610bd367d943e8b4a2d72edf33456007aabcc1f7672b0bb1a93101ce0
+DIST kicad-8.0.1.tar.bz2 72453098 BLAKE2B 67c6b69256566a176ae10affa7ac65879db71f5017dc24312eba904724b2b28caa56f6c82c697d27b34d94f9a8f5448307948e17f17c9750693b192afa3ffab9 SHA512 b6509bb4bfd1cbe87a2f409b70a8ba037a44fb039aaacd9d62868b43925382c0d3aab4c4f7e4bbd646b6ea7cf35fd7318f620a1c8c250c09251349357f2caf11
+DIST kicad-8.0.2.tar.bz2 72713030 BLAKE2B ba47a16b5c435970a45aef9988a5f6d218df043a5ce3547a191991ef63620125989e6218e97266a62c76ece604248bdf0652a10d423346fe3648c8ba240651c4 SHA512 192bcd494f00eccc430ddf9e9034f252bae177f06da81e730fb82f000a58bac9201d49ecba93c3bd17608b6df6a944beea85849050e306e11c1abfbd0028ff4a
+DIST kicad-8.0.3.tar.bz2 72936035 BLAKE2B 667d6bc2e3a3f7ccc7a22a63d22702e6886404a86bca6ca2acdd5181c7046995332bb70f1fca9d25b20d9a7e1a9545488d00fb8d2ca70fd479a989ccea6c856f SHA512 14921827120381e749d094d2a76c850d2bee394c496859df3f4550a32b678a9523199a745e199f7c874ef85b2e8279129578e666c16932fbbcb1ba98c4e62b34
diff --git a/sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch b/sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch
new file mode 100644
index 000000000000..d2523993e6fe
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-8.0.1-libgit2.patch
@@ -0,0 +1,36 @@
+From: Huang Rui <vowstar@gmail.com>
+Date: Fri, 22 Mar 2024 18:18:40 +0800
+Subject: [PATCH] libgit2-1.8.0 compatibility: adjusted parent pointer type
+
+- Adjusted parent pointer type in git_commit_create call for compatibility
+ with libgit2 1.8.0 and above.
+- Included preprocessor checks to maintain support for versions older than
+ 1.8.0.
+- Ensures consistent function behavior across different libgit2 versions.
+
+Fixes https://gitlab.com/kicad/code/kicad/-/issues/17536
+Signed-off-by: Huang Rui <vowstar@gmail.com>
+---
+ kicad/project_tree_pane.cpp | 7 +++++++
+ 1 file changed, 7 insertions(+)
+
+--- a/kicad/project_tree_pane.cpp
++++ b/kicad/project_tree_pane.cpp
+@@ -2233,7 +2233,14 @@ void PROJECT_TREE_PANE::onGitCommit( wxCommandEvent& aEvent )
+ }
+
+ git_oid oid;
++ // Check if the libgit2 library version is 1.8.0 or higher
++#if ( LIBGIT2_VER_MAJOR > 1 ) || ( LIBGIT2_VER_MAJOR == 1 && LIBGIT2_VER_MINOR >= 8 )
++ // For libgit2 version 1.8.0 and above
++ git_commit* const parents[1] = { parent };
++#else
++ // For libgit2 versions older than 1.8.0
+ const git_commit* parents[1] = { parent };
++#endif
+
+ if( git_commit_create( &oid, repo, "HEAD", author, author, nullptr, commit_msg.mb_str(), tree,
+ 1, parents ) != 0 )
+--
+2.44.0
+
diff --git a/sci-electronics/kicad/kicad-7.0.9.ebuild b/sci-electronics/kicad/kicad-7.0.10.ebuild
index 4b191b84f2f3..01d0cff6c0d7 100644
--- a/sci-electronics/kicad/kicad-7.0.9.ebuild
+++ b/sci-electronics/kicad/kicad-7.0.10.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -21,7 +21,7 @@ else
S="${WORKDIR}/${PN}-${MY_PV}"
if [[ ${PV} != *_rc* ]] ; then
- KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ KEYWORDS="amd64 ~arm64 ~riscv ~x86"
fi
fi
@@ -47,6 +47,7 @@ COMMON_DEPEND="
media-libs/mesa[X(+)]
net-misc/curl
>=sci-libs/opencascade-7.3.0:0=
+ <sci-libs/opencascade-7.8.0:0=
>=x11-libs/cairo-1.8.8:=
>=x11-libs/pixman-0.30
>sci-electronics/ngspice-27[shared]
@@ -60,13 +61,16 @@ COMMON_DEPEND="
nls? (
sys-devel/gettext
)
+ test? (
+ media-gfx/cairosvg
+ )
"
DEPEND="${COMMON_DEPEND}"
RDEPEND="${COMMON_DEPEND}
sci-electronics/electronics-menu
"
BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-doc/doxygen )"
+ doc? ( app-text/doxygen )"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
@@ -133,7 +137,8 @@ src_compile() {
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
diff --git a/sci-electronics/kicad/kicad-7.0.8-r1.ebuild b/sci-electronics/kicad/kicad-7.0.11.ebuild
index 4b191b84f2f3..6048013c238d 100644
--- a/sci-electronics/kicad/kicad-7.0.8-r1.ebuild
+++ b/sci-electronics/kicad/kicad-7.0.11.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -47,6 +47,7 @@ COMMON_DEPEND="
media-libs/mesa[X(+)]
net-misc/curl
>=sci-libs/opencascade-7.3.0:0=
+ <sci-libs/opencascade-7.8.0:0=
>=x11-libs/cairo-1.8.8:=
>=x11-libs/pixman-0.30
>sci-electronics/ngspice-27[shared]
@@ -60,13 +61,16 @@ COMMON_DEPEND="
nls? (
sys-devel/gettext
)
+ test? (
+ media-gfx/cairosvg
+ )
"
DEPEND="${COMMON_DEPEND}"
RDEPEND="${COMMON_DEPEND}
sci-electronics/electronics-menu
"
BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-doc/doxygen )"
+ doc? ( app-text/doxygen )"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
@@ -133,7 +137,8 @@ src_compile() {
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
diff --git a/sci-electronics/kicad/kicad-7.0.7.ebuild b/sci-electronics/kicad/kicad-7.0.7.ebuild
index c7354a7a5265..66c7ff8dd513 100644
--- a/sci-electronics/kicad/kicad-7.0.7.ebuild
+++ b/sci-electronics/kicad/kicad-7.0.7.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -28,10 +28,12 @@ fi
# BSD for bundled pybind
LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
SLOT="0"
-IUSE="doc examples nls openmp"
+IUSE="doc examples nls openmp test"
REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+RESTRICT="!test? ( test )"
+
# Contains bundled pybind but it's patched for wx
# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
@@ -45,6 +47,7 @@ COMMON_DEPEND="
media-libs/mesa[X(+)]
net-misc/curl
>=sci-libs/opencascade-7.3.0:0=
+ <sci-libs/opencascade-7.8.0:0=
>=x11-libs/cairo-1.8.8:=
>=x11-libs/pixman-0.30
>sci-electronics/ngspice-27[shared]
@@ -58,13 +61,16 @@ COMMON_DEPEND="
nls? (
sys-devel/gettext
)
+ test? (
+ media-gfx/cairosvg
+ )
"
DEPEND="${COMMON_DEPEND}"
RDEPEND="${COMMON_DEPEND}
sci-electronics/electronics-menu
"
BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-doc/doxygen )"
+ doc? ( app-text/doxygen )"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
@@ -129,7 +135,8 @@ src_compile() {
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
- ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
diff --git a/sci-electronics/kicad/kicad-8.0.0.ebuild b/sci-electronics/kicad/kicad-8.0.0.ebuild
new file mode 100644
index 000000000000..96b4a708d332
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.0.ebuild
@@ -0,0 +1,181 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp telemetry test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ <sci-libs/opencascade-7.8.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.0.1-libgit2.patch
+)
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_USE_SENTRY="$(usex telemetry)"
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-8.0.1.ebuild b/sci-electronics/kicad/kicad-8.0.1.ebuild
new file mode 100644
index 000000000000..96b4a708d332
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.1.ebuild
@@ -0,0 +1,181 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp telemetry test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ <sci-libs/opencascade-7.8.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+PATCHES=(
+ "${FILESDIR}"/${PN}-8.0.1-libgit2.patch
+)
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_USE_SENTRY="$(usex telemetry)"
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-8.0.2-r1.ebuild b/sci-electronics/kicad/kicad-8.0.2-r1.ebuild
new file mode 100644
index 000000000000..83bf7ead3a08
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.2-r1.ebuild
@@ -0,0 +1,180 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_prepare() {
+ filter-lto # Bug 927482
+ cmake_src_prepare
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
+ cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-8.0.3.ebuild b/sci-electronics/kicad/kicad-8.0.3.ebuild
new file mode 100644
index 000000000000..71443e470a09
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.3.ebuild
@@ -0,0 +1,185 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..12} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == *9999* ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_prepare() {
+ filter-lto # Bug 927482
+ cmake_src_prepare
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ export CMAKE_SKIP_TESTS=(
+ qa_pcbnew
+ qa_cli
+ )
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
+ cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-9999.ebuild b/sci-electronics/kicad/kicad-9999.ebuild
index eb591747b7c0..71443e470a09 100644
--- a/sci-electronics/kicad/kicad-9999.ebuild
+++ b/sci-electronics/kicad/kicad-9999.ebuild
@@ -1,17 +1,17 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
-PYTHON_COMPAT=( python3_{10..11} )
+PYTHON_COMPAT=( python3_{10..12} )
WX_GTK_VER="3.2-gtk3"
-inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
DESCRIPTION="Electronic Schematic and PCB design tools"
HOMEPAGE="https://www.kicad.org"
-if [[ ${PV} == 9999 ]]; then
+if [[ ${PV} == *9999* ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
inherit git-r3
else
@@ -39,15 +39,16 @@ RESTRICT="!test? ( test )"
# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
COMMON_DEPEND="
+ app-crypt/libsecret
dev-db/unixODBC
dev-libs/boost:=[context,nls]
- dev-libs/libgit2
+ dev-libs/libgit2:=
media-libs/freeglut
media-libs/glew:0=
>=media-libs/glm-0.9.9.1
media-libs/mesa[X(+)]
net-misc/curl
- >=sci-libs/opencascade-7.3.0:0=
+ >=sci-libs/opencascade-7.5.0:0=
>=x11-libs/cairo-1.8.8:=
>=x11-libs/pixman-0.30
>sci-electronics/ngspice-27[shared]
@@ -61,13 +62,16 @@ COMMON_DEPEND="
nls? (
sys-devel/gettext
)
+ test? (
+ media-gfx/cairosvg
+ )
"
DEPEND="${COMMON_DEPEND}"
RDEPEND="${COMMON_DEPEND}
sci-electronics/electronics-menu
"
BDEPEND=">=dev-lang/swig-4.0
- doc? ( app-doc/doxygen )"
+ doc? ( app-text/doxygen )"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
@@ -76,10 +80,6 @@ fi
CHECKREQS_DISK_BUILD="1500M"
-PATCHES=(
- "${FILESDIR}"/${PN}-7.0.0-werror.patch
-)
-
pkg_setup() {
[[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
@@ -96,6 +96,11 @@ src_unpack() {
fi
}
+src_prepare() {
+ filter-lto # Bug 927482
+ cmake_src_prepare
+}
+
src_configure() {
xdg_environment_reset
@@ -135,10 +140,17 @@ src_compile() {
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ export CMAKE_SKIP_TESTS=(
+ qa_pcbnew
+ qa_cli
+ )
+
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
- LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+ LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
+ cmake_src_test
}
src_install() {
diff --git a/sci-electronics/kicad/metadata.xml b/sci-electronics/kicad/metadata.xml
index 20f4db2115bc..07c75b4303e6 100644
--- a/sci-electronics/kicad/metadata.xml
+++ b/sci-electronics/kicad/metadata.xml
@@ -5,6 +5,10 @@
<email>zoltan@sinustrom.info</email>
<name>Zoltan Puskas</name>
</maintainer>
+ <maintainer type="person" proxied="yes">
+ <email>vowstar@gmail.com</email>
+ <name>Huang Rui</name>
+ </maintainer>
<maintainer type="project" proxied="proxy">
<email>proxy-maint@gentoo.org</email>
<name>Proxy Maintainers</name>
diff --git a/sci-electronics/librepcb/librepcb-1.0.0-r1.ebuild b/sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild
index ba797def47ac..cdee44faf323 100644
--- a/sci-electronics/librepcb/librepcb-1.0.0-r1.ebuild
+++ b/sci-electronics/librepcb/librepcb-1.0.0-r2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 2009-2023 Gentoo Authors
+# Copyright 2009-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -34,7 +34,8 @@ RDEPEND="
dev-qt/qtwidgets:5
dev-qt/qtxml:5
opencascade? ( sci-libs/opencascade:= )
- sys-libs/zlib"
+ sys-libs/zlib
+ virtual/opengl"
DEPEND="${RDEPEND}
dev-qt/qttest:5"
diff --git a/sci-electronics/ngspice/ngspice-36.ebuild b/sci-electronics/ngspice/ngspice-36.ebuild
index 0a20c0490177..6a99a86b05e4 100644
--- a/sci-electronics/ngspice/ngspice-36.ebuild
+++ b/sci-electronics/ngspice/ngspice-36.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -6,8 +6,8 @@ EAPI=7
inherit autotools multibuild toolchain-funcs virtualx
DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
-SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz
- doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )"
+SRC_URI="https://downloads.sourceforge.net/ngspice/${P}.tar.gz
+ doc? ( https://downloads.sourceforge.net/ngspice/${P}-manual.pdf )"
HOMEPAGE="http://ngspice.sourceforge.net"
LICENSE="BSD GPL-2"
diff --git a/sci-electronics/ngspice/ngspice-40.ebuild b/sci-electronics/ngspice/ngspice-40.ebuild
index 5e10132afd22..c06bd1110fc8 100644
--- a/sci-electronics/ngspice/ngspice-40.ebuild
+++ b/sci-electronics/ngspice/ngspice-40.ebuild
@@ -1,21 +1,21 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
-inherit autotools multibuild toolchain-funcs virtualx
+inherit autotools flag-o-matic multibuild toolchain-funcs virtualx
DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
HOMEPAGE="http://ngspice.sourceforge.net"
SRC_URI="
- mirror://sourceforge/ngspice/${P}.tar.gz
- doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )
+ https://downloads.sourceforge.net/ngspice/${P}.tar.gz
+ doc? ( https://downloads.sourceforge.net/ngspice/${P}-manual.pdf )
"
LICENSE="BSD GPL-2 MPL-2.0"
SLOT="0"
IUSE="X debug deprecated doc examples fftw openmp +readline +shared tcl"
-KEYWORDS="~amd64 ~arm64 ~ppc ~riscv ~sparc ~x86 ~x64-macos"
+KEYWORDS="amd64 ~arm64 ~ppc ~riscv ~sparc ~x86 ~x64-macos"
RESTRICT="!test? ( test )"
DEPEND="
@@ -80,6 +80,11 @@ src_prepare() {
}
src_configure() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/862513
+ # https://sourceforge.net/p/ngspice/bugs/665/
+ filter-lto
+
multibuild_foreach_variant ngspice_configure
}
diff --git a/sci-electronics/nvc/Manifest b/sci-electronics/nvc/Manifest
index 8260b46ba484..e6054d7b4ec0 100644
--- a/sci-electronics/nvc/Manifest
+++ b/sci-electronics/nvc/Manifest
@@ -1,2 +1,3 @@
-DIST nvc-1.10.3.tar.gz 1594551 BLAKE2B 0b607dfdcb0fba0edf5ca56d35786bce3e20cc7f9ecd90d0fd8ebbf492171d08fcd7f4df9ba200446fe890244d7a7fee70f5de0b22d16fb5872550fc69bc199c SHA512 cd5bae71e765c87f0c8a1f21bb4cdd1022470bd4bb9dc5ecc2d15cfa365ed0a2c0e85c4edffd9fa90080db6a44db7101a11cd6fcc134c7870d64601183994893
-DIST nvc-1.10.4.tar.gz 1595656 BLAKE2B bea13b231dbbc191c6bfb2c52014d2486fc5ba86c12910d289f4308037d5d64f030a050ff6f4f1755e5ad0e8dd1b17ed4dac11cf360f72084d9e704495518b4a SHA512 49638b0a661a34985ef944d955292e93b0f9d9aca3e8a616308ddcca4537bee996ac8e91dc632e882ddb36b6e95d6df9f013a87b480276270759293585e19e22
+DIST nvc-1.12.1.tar.gz 1931464 BLAKE2B 7081387b81afd20d05ad69d8e29c6549e286d4b5e90ca7e044ddcc8df80d1db7a3a398b11303c7b2e90cf8863e3b7a910530b353c6664d03c2be0e18ee40379f SHA512 33df2d4be7938be381f763f33b95b09a49f5d10d3b240f280379e83d367c05f886a867fdd945acc23debb1652b52a76ca2ec0c338d87b146a02ed2c858a8960b
+DIST nvc-1.12.2.tar.gz 1932149 BLAKE2B 6a71c9bb5ea5254c6b1d2283e4f3e14f13c8399204810cbbc05fae25b50cd5307cb3cdbf101c129dbc1863a135e493fafd8672903665eb4f5f3c9a95c6fcaf82 SHA512 d386cd32533d60c0ab795955a376a4c0de4b35d2ce7fdbda9e4f4c79605fb8e0422ddee574204f1f8a951caf0d87e4446ebb28ff5b3352644307c0c13bbe8765
+DIST nvc-1.13.0.tar.gz 1961847 BLAKE2B 5cb2e99baeb0c190ccd83535f7a5114327410b80339448eb50188147f2160a0320edaa612579c77327d7b67d51c7e312ebed6ac07bfe3ab636b3322baf050f53 SHA512 c93fa48dda45de734dafa996eda0b924f11c497feeee5e19b49be22594dcd9324abfe2e1d31c706f2d058950cd2525af46a3aa5ac61506628c3dc7af73685a39
diff --git a/sci-electronics/nvc/metadata.xml b/sci-electronics/nvc/metadata.xml
index 71e32280c562..30ee43a4d80a 100644
--- a/sci-electronics/nvc/metadata.xml
+++ b/sci-electronics/nvc/metadata.xml
@@ -19,7 +19,6 @@
</longdescription>
<use>
<flag name="llvm">Build LLVM code generator</flag>
- <flag name="jit">Enable experimental JIT complilation with LLVM</flag>
</use>
<upstream>
<bugs-to>https://github.com/nickg/nvc/issues/</bugs-to>
diff --git a/sci-electronics/nvc/nvc-1.10.4.ebuild b/sci-electronics/nvc/nvc-1.12.1.ebuild
index 801f314f5b8a..dd4ecc425726 100644
--- a/sci-electronics/nvc/nvc-1.10.4.ebuild
+++ b/sci-electronics/nvc/nvc-1.12.1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -11,23 +11,26 @@ DESCRIPTION="NVC is a VHDL compiler and simulator"
HOMEPAGE="https://www.nickg.me.uk/nvc/
https://github.com/nickg/nvc/"
-if [[ ${PV} == *9999* ]] ; then
+if [[ "${PV}" == *9999* ]] ; then
inherit git-r3
+
EGIT_REPO_URI="https://github.com/nickg/nvc.git"
- NVC_SOURCEDIR="${WORKDIR}"/${PN}-${PV}
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-${PV}"
else
SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz
-> ${P}.tar.gz"
KEYWORDS="~amd64 ~x86"
- NVC_SOURCEDIR="${WORKDIR}"/${PN}-r${PV}
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-r${PV}"
fi
+NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
+S="${NVC_BUILDDIR}"
+
LICENSE="GPL-3+"
SLOT="0"
-IUSE="debug jit llvm"
-REQUIRED_USE="jit? ( llvm )"
+IUSE="debug llvm"
RESTRICT="test" # Some tests fail.
RDEPEND="
@@ -40,7 +43,9 @@ RDEPEND="
dev-libs/libxml2:=
sys-libs/ncurses:=
sys-libs/zlib:=
- llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
+ llvm? (
+ <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ )
"
DEPEND="
${RDEPEND}
@@ -51,10 +56,7 @@ BDEPEND="
sys-devel/flex
"
-NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
-S="${NVC_BUILDDIR}"
-
-PATCHES=( "${FILESDIR}"/nvc-1.9.2-jit-code-capstone.patch )
+PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
# Special libraries for NVC.
QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
@@ -73,7 +75,6 @@ src_prepare() {
pushd "${NVC_SOURCEDIR}" >/dev/null || die
default
-
eautoreconf
popd >/dev/null || die
@@ -90,7 +91,6 @@ src_configure() {
--enable-vital
--with-bash-completion="$(get_bashcompdir)"
$(use_enable debug)
- $(use_enable jit)
$(use_enable llvm)
)
econf "${myconf[@]}"
@@ -103,13 +103,12 @@ src_compile() {
}
src_test() {
- emake check
+ PATH="${S}/bin:${PATH}" emake check-TESTS
}
src_install() {
default
- mv "${ED}"/"$(get_bashcompdir)"/nvc{.bash,} || die
-
- dostrip -x /usr/$(get_libdir)/nvc
+ mv "${D}/$(get_bashcompdir)"/nvc{.bash,} || die
+ dostrip -x "/usr/$(get_libdir)/nvc"
}
diff --git a/sci-electronics/nvc/nvc-1.10.3-r1.ebuild b/sci-electronics/nvc/nvc-1.12.2.ebuild
index 801f314f5b8a..dd4ecc425726 100644
--- a/sci-electronics/nvc/nvc-1.10.3-r1.ebuild
+++ b/sci-electronics/nvc/nvc-1.12.2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -11,23 +11,26 @@ DESCRIPTION="NVC is a VHDL compiler and simulator"
HOMEPAGE="https://www.nickg.me.uk/nvc/
https://github.com/nickg/nvc/"
-if [[ ${PV} == *9999* ]] ; then
+if [[ "${PV}" == *9999* ]] ; then
inherit git-r3
+
EGIT_REPO_URI="https://github.com/nickg/nvc.git"
- NVC_SOURCEDIR="${WORKDIR}"/${PN}-${PV}
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-${PV}"
else
SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz
-> ${P}.tar.gz"
KEYWORDS="~amd64 ~x86"
- NVC_SOURCEDIR="${WORKDIR}"/${PN}-r${PV}
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-r${PV}"
fi
+NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
+S="${NVC_BUILDDIR}"
+
LICENSE="GPL-3+"
SLOT="0"
-IUSE="debug jit llvm"
-REQUIRED_USE="jit? ( llvm )"
+IUSE="debug llvm"
RESTRICT="test" # Some tests fail.
RDEPEND="
@@ -40,7 +43,9 @@ RDEPEND="
dev-libs/libxml2:=
sys-libs/ncurses:=
sys-libs/zlib:=
- llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
+ llvm? (
+ <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ )
"
DEPEND="
${RDEPEND}
@@ -51,10 +56,7 @@ BDEPEND="
sys-devel/flex
"
-NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
-S="${NVC_BUILDDIR}"
-
-PATCHES=( "${FILESDIR}"/nvc-1.9.2-jit-code-capstone.patch )
+PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
# Special libraries for NVC.
QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
@@ -73,7 +75,6 @@ src_prepare() {
pushd "${NVC_SOURCEDIR}" >/dev/null || die
default
-
eautoreconf
popd >/dev/null || die
@@ -90,7 +91,6 @@ src_configure() {
--enable-vital
--with-bash-completion="$(get_bashcompdir)"
$(use_enable debug)
- $(use_enable jit)
$(use_enable llvm)
)
econf "${myconf[@]}"
@@ -103,13 +103,12 @@ src_compile() {
}
src_test() {
- emake check
+ PATH="${S}/bin:${PATH}" emake check-TESTS
}
src_install() {
default
- mv "${ED}"/"$(get_bashcompdir)"/nvc{.bash,} || die
-
- dostrip -x /usr/$(get_libdir)/nvc
+ mv "${D}/$(get_bashcompdir)"/nvc{.bash,} || die
+ dostrip -x "/usr/$(get_libdir)/nvc"
}
diff --git a/sci-electronics/nvc/nvc-1.13.0.ebuild b/sci-electronics/nvc/nvc-1.13.0.ebuild
new file mode 100644
index 000000000000..dd4ecc425726
--- /dev/null
+++ b/sci-electronics/nvc/nvc-1.13.0.ebuild
@@ -0,0 +1,114 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+LLVM_MAX_SLOT=16
+
+inherit autotools bash-completion-r1 llvm
+
+DESCRIPTION="NVC is a VHDL compiler and simulator"
+HOMEPAGE="https://www.nickg.me.uk/nvc/
+ https://github.com/nickg/nvc/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/nickg/nvc.git"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-${PV}"
+else
+ SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz
+ -> ${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-r${PV}"
+fi
+
+NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
+S="${NVC_BUILDDIR}"
+
+LICENSE="GPL-3+"
+SLOT="0"
+IUSE="debug llvm"
+RESTRICT="test" # Some tests fail.
+
+RDEPEND="
+ app-arch/bzip2:=
+ app-arch/zstd:=
+ dev-libs/capstone:=
+ dev-libs/elfutils
+ dev-libs/icu:=
+ dev-libs/libffi:=
+ dev-libs/libxml2:=
+ sys-libs/ncurses:=
+ sys-libs/zlib:=
+ llvm? (
+ <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-libs/check
+ sys-devel/bison
+ sys-devel/flex
+"
+
+PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
+
+# Special libraries for NVC.
+QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
+
+pkg_setup() {
+ use llvm && llvm_pkg_setup
+}
+
+src_unpack() {
+ default
+
+ mkdir -p "${S}" || die
+}
+
+src_prepare() {
+ pushd "${NVC_SOURCEDIR}" >/dev/null || die
+
+ default
+ eautoreconf
+
+ popd >/dev/null || die
+}
+
+src_configure() {
+ # Needs "bison" and "flex" exactly.
+ unset LEX
+ unset YACC
+
+ local ECONF_SOURCE="${NVC_SOURCEDIR}"
+ local -a myconf=(
+ --enable-verilog
+ --enable-vital
+ --with-bash-completion="$(get_bashcompdir)"
+ $(use_enable debug)
+ $(use_enable llvm)
+ )
+ econf "${myconf[@]}"
+
+ export V=1 # Verbose compilation and install.
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_test() {
+ PATH="${S}/bin:${PATH}" emake check-TESTS
+}
+
+src_install() {
+ default
+
+ mv "${D}/$(get_bashcompdir)"/nvc{.bash,} || die
+ dostrip -x "/usr/$(get_libdir)/nvc"
+}
diff --git a/sci-electronics/pcb/pcb-4.2.2.ebuild b/sci-electronics/pcb/pcb-4.2.2.ebuild
index 8c88c3365640..ae701d9c3c28 100644
--- a/sci-electronics/pcb/pcb-4.2.2.ebuild
+++ b/sci-electronics/pcb/pcb-4.2.2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit autotools toolchain-funcs xdg
DESCRIPTION="GPL Electronic Design Automation: Printed Circuit Board editor"
HOMEPAGE="http://pcb.geda-project.org/"
-SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/pcb/pcb/${P}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
diff --git a/sci-electronics/pcb/pcb-4.3.0.ebuild b/sci-electronics/pcb/pcb-4.3.0.ebuild
index 80f27c1fb2ba..d4b4fe2af37a 100644
--- a/sci-electronics/pcb/pcb-4.3.0.ebuild
+++ b/sci-electronics/pcb/pcb-4.3.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -7,7 +7,7 @@ inherit autotools toolchain-funcs virtualx xdg
DESCRIPTION="GPL Electronic Design Automation: Printed Circuit Board editor"
HOMEPAGE="http://pcb.geda-project.org/"
-SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/pcb/pcb/${P}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
diff --git a/sci-electronics/qelectrotech/metadata.xml b/sci-electronics/qelectrotech/metadata.xml
index 610d2d8ea120..115e9d64a669 100644
--- a/sci-electronics/qelectrotech/metadata.xml
+++ b/sci-electronics/qelectrotech/metadata.xml
@@ -1,8 +1,5 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
- <maintainer type="project">
- <email>qt@gentoo.org</email>
- <name>Gentoo Qt Project</name>
- </maintainer>
+ <!-- maintainer-needed -->
</pkgmetadata>
diff --git a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
index 21b1ebfe0716..9f04e6f2ee33 100644
--- a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
+++ b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
@@ -1,4 +1,4 @@
-# Copyright 2001-2023 Gentoo Authors
+# Copyright 2001-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -14,7 +14,7 @@ if [[ ${PV} == *9999* ]]; then
else
MY_P=qet-${PV/%0/.0}
SRC_URI="https://git.tuxfamily.org/qet/qet.git/snapshot/${MY_P}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="amd64 ~x86"
+ KEYWORDS="amd64 ~arm64 ~x86"
S="${WORKDIR}"/${MY_P}
fi
@@ -24,7 +24,7 @@ IUSE="doc"
BDEPEND="
virtual/pkgconfig
- doc? ( app-doc/doxygen )
+ doc? ( app-text/doxygen )
"
RDEPEND="
dev-db/sqlite:3
diff --git a/sci-electronics/qelectrotech/qelectrotech-9999.ebuild b/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
index 17b3beea6240..ab1501d00974 100644
--- a/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
+++ b/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 2001-2021 Gentoo Authors
+# Copyright 2001-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -24,7 +24,7 @@ IUSE="doc"
BDEPEND="
virtual/pkgconfig
- doc? ( app-doc/doxygen )
+ doc? ( app-text/doxygen )
"
RDEPEND="
dev-db/sqlite:3
diff --git a/sci-electronics/spice/spice-3.5.5-r3.ebuild b/sci-electronics/spice/spice-3.5.5-r3.ebuild
deleted file mode 100644
index 3228789e8fc9..000000000000
--- a/sci-electronics/spice/spice-3.5.5-r3.ebuild
+++ /dev/null
@@ -1,75 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI="6"
-
-inherit flag-o-matic toolchain-funcs
-
-MY_P="spice3f5sfix"
-DESCRIPTION="general-purpose circuit simulation program"
-HOMEPAGE="http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/"
-SRC_URI="http://www.ibiblio.org/pub/Linux/apps/circuits/${MY_P}.tar.gz"
-
-LICENSE="BSD"
-SLOT="0"
-KEYWORDS="amd64 ~ppc ~x86"
-
-RDEPEND="sys-libs/ncurses:0=
- x11-libs/libXaw
- >=app-misc/editor-wrapper-3"
-
-DEPEND="${RDEPEND}
- virtual/pkgconfig
- x11-base/xorg-proto
- "
-
-S=${WORKDIR}/${MY_P}
-
-src_prepare() {
- # spice accepts -O1 at most
- replace-flags -O* -O1
-
- # Avoid re-creating WORKDIR due to stupid mtime
- touch ..
-
- sed -i -e "s:termcap:ncurses:g" \
- -e "s:joe:/usr/libexec/editor:g" \
- -e "s:-O2 -s:${CFLAGS}:g" \
- -e "s:-lncurses -lm -s:-lncurses -lm ${LDFLAGS}:" \
- -e "s:SPICE_DIR)/lib:SPICE_DIR)/$(get_libdir)/spice:g" \
- -e "s:/usr/local/spice:/usr:g" \
- -e "s:/X11R6::" \
- conf/linux || die
- sed -i -e "s:head -1:head -n 1:" util/build || die
- eapply "${FILESDIR}"/${P}-gcc-4.1.patch
- # Bug https://bugs.gentoo.org/783192
- eapply "${FILESDIR}"/${P}-arlocal.patch
-
- # fix possible buffer overflow (bug #339539)
- sed -i -e "s:fgets(buf, BSIZE_SP:fgets(buf, sizeof(buf):g" \
- src/lib/fte/misccoms.c || die
-
- # fix missing libtinfo if ncurses compiled with USE=tinfo (bug #605718)
- sed -i -e "s:-lncurses:$($(tc-getPKG_CONFIG) --libs ncurses):g" conf/linux || die
-
- eapply_user
-}
-
-src_compile() {
- ./util/build linux || die "build failed"
- obj/bin/makeidx lib/helpdir/spice.txt || die "makeidx failed"
-}
-
-src_install() {
- # install binaries
- dobin obj/bin/{spice3,nutmeg,sconvert,multidec,proc2mod}
- newbin obj/bin/help spice.help
- dosym spice3 /usr/bin/spice
- # install runtime stuff
- rm -f lib/make*
- dodir /usr/$(get_libdir)/spice
- cp -R lib/* "${D}"/usr/$(get_libdir)/spice/ || die "failed to copy libraries"
- # install docs
- doman man/man1/*.1
- dodoc readme readme.Linux notes/spice2
-}
diff --git a/sci-electronics/spice/spice-3.5.5-r4.ebuild b/sci-electronics/spice/spice-3.5.5-r4.ebuild
index 931d96996b3b..383863ebe1d0 100644
--- a/sci-electronics/spice/spice-3.5.5-r4.ebuild
+++ b/sci-electronics/spice/spice-3.5.5-r4.ebuild
@@ -13,7 +13,7 @@ S=${WORKDIR}/${MY_P}
LICENSE="BSD"
SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
+KEYWORDS="amd64 ~ppc ~x86"
RDEPEND="
sys-libs/ncurses:0=
diff --git a/sci-electronics/systemc/systemc-2.3.4-r2.ebuild b/sci-electronics/systemc/systemc-2.3.4-r2.ebuild
index 9e61f04f5519..098270948b4f 100644
--- a/sci-electronics/systemc/systemc-2.3.4-r2.ebuild
+++ b/sci-electronics/systemc/systemc-2.3.4-r2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2022 Gentoo Authors
+# Copyright 1999-2023 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -18,7 +18,7 @@ if [[ "${PV}" == "9999" ]] ; then
inherit git-r3
else
SRC_URI="https://github.com/accellera-official/${PN}/archive/${MY_PV}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="~amd64 ~arm ~arm64 ~x86"
+ KEYWORDS="amd64 ~arm ~arm64 ~x86"
S="${WORKDIR}/${PN}-${MY_PV}"
fi
diff --git a/sci-electronics/vbs/Manifest b/sci-electronics/vbs/Manifest
deleted file mode 100644
index 0ceb3941e1d8..000000000000
--- a/sci-electronics/vbs/Manifest
+++ /dev/null
@@ -1 +0,0 @@
-DIST vbs-1.4.0.tar.gz 275974 BLAKE2B a2a3b9654edefb52e22be166650b17959a72e2b1b6c1bb25708b52c33b3f33476f10b542b553959ae2310a7adcb328d5fa129ac34c6c6b6e62ca0a8880b3ff68 SHA512 78320f650d8f9f558143d0c13fd47697a738f540fa5f6e8718e6e9fdef67f794189b18c214be68d19a9a745527b57abb54e94fadfc8e83289a95e1ae48e41384
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch b/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch
deleted file mode 100644
index 796aba52bb76..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch
+++ /dev/null
@@ -1,11 +0,0 @@
---- a/common/scp_tab.cc
-+++ b/common/scp_tab.cc
-@@ -148,7 +148,7 @@
- nm.replace(0,top.length()+1,"");
- const char * n = nm.c_str();
- size_t full, sub,diff;
-- char * last_dot = strrchr(n,'.');
-+ char * last_dot = const_cast<char*>(strrchr(n,'.'));
- char * ret = 0;
- if (last_dot != 0)
- {
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
deleted file mode 100644
index b017e9e05dcf..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
+++ /dev/null
@@ -1,105 +0,0 @@
---- a/common/bvector.h
-+++ b/common/bvector.h
-@@ -90,9 +90,9 @@
- size_type _size; // Size of this sub-bit vector.
-
- // Only bit_vector can create a sub_bit_vector object.
-+ public:
- sub_bit_vector(bit_vector &, const position_type, const position_type);
- sub_bit_vector(const sub_bit_vector &);
-- public:
- sub_bit_vector &operator=(const sub_bit_vector &);
- sub_bit_vector &operator=(const const_sub_bit_vector &);
- sub_bit_vector &operator=(const bit_vector &);
-@@ -116,8 +116,8 @@
-
- // Only bit_vector can create a sub_bit_vector object.
- const_sub_bit_vector(const bit_vector &, const position_type, const position_type);
-- const_sub_bit_vector(const const_sub_bit_vector &);
- public:
-+ const_sub_bit_vector(const const_sub_bit_vector &);
- size_type size() const
- { return _size; }
- ostream_type &info(ostream_type &) const;
---- a/common/logic.h
-+++ b/common/logic.h
-@@ -44,6 +44,10 @@
- { return (_state == HI) ? true : false; }
- operator int() const
- { return _state; }
-+
-+ operator long int() const
-+ { return _state; }
-+
- operator char() const
- {
- switch (_state)
---- a/common/st_net.h
-+++ b/common/st_net.h
-@@ -133,4 +133,7 @@
- const st_net &_net;
- };
-
-+void entry_iovars(st_net::io_list &, st_net::arg_list &);
-+void exit_iovars(st_net::io_list &, st_net::arg_list &);
-+
- #endif // _ST_NET_H
---- a/expr/erdwr.cc
-+++ b/expr/erdwr.cc
-@@ -25,8 +25,9 @@
- expr_base *
- read_expr::operator()() const
- {
-- VBSOBJ_EXPR_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_EXPR_TYPE type = VBSOBJ_EXPR_TYPE(type_num);
- long ln;
- _in >> ln;
- expr_base *expr = 0;
---- a/Makefile.in
-+++ b/Makefile.in
-@@ -329,13 +329,15 @@
- rm -f *.o
- rm -f *.a
- rm -f *.so
-+ rm -f vbs
-
- clean-repo:
- if test -d ptrepository; then rm -rf ptrepository; fi
- rm -f *.rpo
-
- install:
-- $(INSTALL) vbs $(bindir)
-+ $(INSTALL) -d $(DESTDIR)$(bindir)
-+ $(INSTALL) vbs $(DESTDIR)$(bindir)
-
- tarball:
- @echo "===== Tar ball ======"
---- a/misc/mrdwr.cc
-+++ b/misc/mrdwr.cc
-@@ -21,8 +21,9 @@
- module *
- read_module::operator()() const
- {
-- VBSOBJ_MISC_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
- if (type != VBSOBJ_MISC_MODULE)
- {
- vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
-@@ -129,8 +130,9 @@
- port *
- read_port::operator()() const
- {
-- VBSOBJ_MISC_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
- if (type != VBSOBJ_MISC_PORT)
- {
- vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
deleted file mode 100644
index 1bb55d824e7a..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
+++ /dev/null
@@ -1,30 +0,0 @@
---- a/common/dumpstrm.cc
-+++ b/common/dumpstrm.cc
-@@ -13,6 +13,7 @@
-
- #include <cstdio> // sprintf
- #include <iostream>
-+#include <cstdlib>
- #include "dumpstrm.h"
-
- using std::cerr;
---- a/common/logic.h
-+++ b/common/logic.h
-@@ -18,6 +18,7 @@
-
- #ifndef _LOGIC_H
- #define _LOGIC_H
-+#include <cstdlib>
-
- class logic
- {
---- a/common/bvector.h
-+++ b/common/bvector.h
-@@ -30,6 +30,7 @@
- #include <string>
- #include <utility>
- #include <iostream>
-+#include <cstring>
- #include "common/logic.h"
-
- class bit_vector
diff --git a/sci-electronics/vbs/metadata.xml b/sci-electronics/vbs/metadata.xml
deleted file mode 100644
index 400878cd0df2..000000000000
--- a/sci-electronics/vbs/metadata.xml
+++ /dev/null
@@ -1,13 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <maintainer type="project">
- <email>sci-electronics@gentoo.org</email>
- <name>Gentoo Electronics Project</name>
- </maintainer>
- <longdescription>
- This program is a simple implementation of a Verilog simulator. VBS tries to
- implement all of the Verilog behavioral constructs that are synthesizable, but
- still allow complex test vectors for simulation.
- </longdescription>
-</pkgmetadata>
diff --git a/sci-electronics/vbs/vbs-1.4.0.ebuild b/sci-electronics/vbs/vbs-1.4.0.ebuild
deleted file mode 100644
index 4e58d702ffea..000000000000
--- a/sci-electronics/vbs/vbs-1.4.0.ebuild
+++ /dev/null
@@ -1,40 +0,0 @@
-# Copyright 1999-2021 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-DESCRIPTION="vbs - the Verilog Behavioral Simulator"
-HOMEPAGE="http://www.geda.seul.org/tools/vbs/index.html"
-SRC_URI="http://www.geda.seul.org/dist/${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
-IUSE=""
-
-DEPEND="
- sys-devel/flex
- sys-devel/bison"
-RDEPEND=""
-
-S="${WORKDIR}/${P}/src"
-PATCHES=(
- "${FILESDIR}"/${P}-gcc-4.1.patch
- "${FILESDIR}"/${P}-gcc-4.3.patch
- "${FILESDIR}"/${P}-const_cast.patch
-)
-
-src_compile() {
- emake -j1 vbs
-}
-
-src_install() {
- dobin vbs
- cd .. || die
-
- einstalldocs
- dodoc CHANGELOG* CONTRIBUTORS vbs.txt
-
- insinto /usr/share/${PF}/examples
- doins -r EXAMPLES/.
-}
diff --git a/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild b/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild
index f9d44130cf38..31ddee59a282 100644
--- a/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild
+++ b/sci-electronics/voacapl/voacapl-0.7.6-r1.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI="7"
@@ -14,7 +14,6 @@ SRC_URI="https://github.com/jawatson/${PN}/archive/v.${PV}.tar.gz -> ${P}.tar.gz
LICENSE="all-rights-reserved"
SLOT="0"
KEYWORDS="amd64 ~x86"
-IUSE=""
RESTRICT="mirror bindist"
diff --git a/sci-electronics/xnec2c/Manifest b/sci-electronics/xnec2c/Manifest
index 4eaf602c3d4a..27940f492e67 100644
--- a/sci-electronics/xnec2c/Manifest
+++ b/sci-electronics/xnec2c/Manifest
@@ -1 +1,3 @@
DIST xnec2c-v4.4.12.tar.gz 1496988 BLAKE2B dbcea1e24382b9f2bb6e881007b44dcf99b9caa7f970ecbb1363e1a0e232d9d1bda6fe68f90622d81cdc69b3b9ff6019dd4b123d6a79f8c6bd088dbd804354b8 SHA512 0d1711260d7f69633d9e6bb559f3b56c2a25414f75ed913c643c0aaad5e4062834ce6c7c7816741f65436173b331e6f8f957c4a624a77214414865bac94ab7e8
+DIST xnec2c-v4.4.14.tar.gz 1509461 BLAKE2B 26aca7e4e328dff4e418d16499312bd3137fc9c46b382e928c1916fa111cfb7da2e891274ac414691b0e40520146a7c9da4781fa2864f1abcade247c2732fa89 SHA512 8fa407bf47a1cd600ddffb51b89b6f8ac869a6c8c5d8d1420a494d14c6d8c03e8a6ff845dcff3ac64aca0373d32980a0e1f4f615806df178cdef2eae2190212f
+DIST xnec2c-v4.4.16.tar.gz 1509642 BLAKE2B d816ccbc8535b8a045f14cf6dd0e8b586a076fa2600fd3c0d71fd471efb6e63e739c20b3fa8427934e9e2cf71a9c12b701cbe44b5c4ffb96c78eeaa63fc5cbc5 SHA512 e7ccb0fe844c09ef36114835546f53ff92e053cf05fe64232efb3d7958b0860f86ef930296eb419dbe9b2ec2e9e0697de7e4fef3e2ec139566e585cf9b2cdba9
diff --git a/sci-electronics/xnec2c/xnec2c-4.4.14.ebuild b/sci-electronics/xnec2c/xnec2c-4.4.14.ebuild
new file mode 100644
index 000000000000..a968f495ffc9
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-4.4.14.ebuild
@@ -0,0 +1,56 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools xdg-utils
+
+MY_P=${PN}-v${PV}
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="https://www.xnec2c.org"
+SRC_URI="https://www.xnec2c.org/releases/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:3"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ eapply_user
+ # bug 896176
+ sed -i -e "s/-D_FORTIFY_SOURCE=2//g" configure.ac || die
+ eautoreconf
+}
+
+src_install() {
+ default
+ docompress -x /usr/share/man
+
+ rm -R "${D}/usr/share/doc/${P}" || die
+ dodoc AUTHORS README.md doc/*.txt
+ use doc && dodoc -r doc/*.html doc/images
+
+ if ! use examples ; then
+ rm -R "${D}/usr/share/${PN}/examples" || die
+ fi
+}
+
+pkg_postinst() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
+
+pkg_postrm() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
diff --git a/sci-electronics/xnec2c/xnec2c-4.4.16.ebuild b/sci-electronics/xnec2c/xnec2c-4.4.16.ebuild
new file mode 100644
index 000000000000..e612d0a3dadf
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-4.4.16.ebuild
@@ -0,0 +1,56 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools xdg-utils
+
+MY_P=${PN}-v${PV}
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="https://www.xnec2c.org"
+SRC_URI="https://www.xnec2c.org/releases/${MY_P}.tar.gz"
+
+S="${WORKDIR}/${MY_P}"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:3"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ eapply_user
+ # bug 896176
+ sed -i -e "s/-D_FORTIFY_SOURCE=2//g" configure.ac || die
+ eautoreconf
+}
+
+src_install() {
+ default
+ docompress -x /usr/share/man
+
+ rm -R "${D}/usr/share/doc/${P}" || die
+ dodoc AUTHORS README.md doc/*.txt
+ use doc && dodoc -r doc/*.html doc/images
+
+ if ! use examples ; then
+ rm -R "${D}/usr/share/${PN}/examples" || die
+ fi
+}
+
+pkg_postinst() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
+
+pkg_postrm() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
diff --git a/sci-electronics/xoscope/xoscope-2.3.ebuild b/sci-electronics/xoscope/xoscope-2.3.ebuild
index 69434a3f9cfc..091317b23add 100644
--- a/sci-electronics/xoscope/xoscope-2.3.ebuild
+++ b/sci-electronics/xoscope/xoscope-2.3.ebuild
@@ -1,13 +1,13 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
-inherit autotools linux-info
+inherit autotools flag-o-matic linux-info
DESCRIPTION="Soundcard Oscilloscope for X"
HOMEPAGE="http://xoscope.sourceforge.net"
-SRC_URI="mirror://sourceforge/project/${PN}/${PN}/${PV}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/project/${PN}/${PN}/${PV}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
@@ -32,3 +32,12 @@ src_prepare() {
default
eautoreconf
}
+
+src_configure() {
+ # -Werror=lto-type-mismatch
+ # https://bugs.gentoo.org/878065
+ # https://sourceforge.net/p/xoscope/bugs/23/
+ filter-lto
+
+ default
+}