summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
Diffstat (limited to 'sci-electronics')
-rw-r--r--sci-electronics/NanoVNA-QT/metadata.xml5
-rw-r--r--sci-electronics/gazebo/files/boost.patch49
-rw-r--r--sci-electronics/gazebo/gazebo-11.14.0.ebuild1
-rw-r--r--sci-electronics/geda-suite/geda-suite-20171223-r2.ebuild (renamed from sci-electronics/geda-suite/geda-suite-20171223-r1.ebuild)11
-rw-r--r--sci-electronics/gerbv/gerbv-2.7.0.ebuild4
-rw-r--r--sci-electronics/ghdl/Manifest1
-rw-r--r--sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild2
-rw-r--r--sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild84
-rw-r--r--sci-electronics/ghdl/ghdl-4.1.0.ebuild2
-rw-r--r--sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild4
-rw-r--r--sci-electronics/gspiceui/Manifest2
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch26
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch50
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.1.0.ebuild85
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.2.87.ebuild4
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild (renamed from sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild)30
-rw-r--r--sci-electronics/gspiceui/metadata.xml4
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.106.ebuild4
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.111.ebuild4
-rw-r--r--sci-electronics/gwave/gwave-20190116.ebuild4
-rw-r--r--sci-electronics/irsim/Manifest1
-rw-r--r--sci-electronics/irsim/irsim-9.7.93-r1.ebuild46
-rw-r--r--sci-electronics/irsim/metadata.xml3
-rw-r--r--sci-electronics/kicad-footprints/Manifest2
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild28
-rw-r--r--sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild4
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild27
-rw-r--r--sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild27
-rw-r--r--sci-electronics/kicad-packages3d/Manifest2
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild31
-rw-r--r--sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild2
-rw-r--r--sci-electronics/kicad-symbols/Manifest2
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild28
-rw-r--r--sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild2
-rw-r--r--sci-electronics/kicad-templates/Manifest2
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild22
-rw-r--r--sci-electronics/kicad-templates/kicad-templates-9999.ebuild4
-rw-r--r--sci-electronics/kicad/Manifest2
-rw-r--r--sci-electronics/kicad/kicad-8.0.2-r1.ebuild180
-rw-r--r--sci-electronics/kicad/kicad-8.0.3.ebuild185
-rw-r--r--sci-electronics/kicad/kicad-9999.ebuild25
-rw-r--r--sci-electronics/ngspice/ngspice-36.ebuild6
-rw-r--r--sci-electronics/ngspice/ngspice-40.ebuild4
-rw-r--r--sci-electronics/nvc/Manifest4
-rw-r--r--sci-electronics/nvc/nvc-1.12.1.ebuild (renamed from sci-electronics/nvc/nvc-1.12.0.ebuild)0
-rw-r--r--sci-electronics/nvc/nvc-1.12.2.ebuild114
-rw-r--r--sci-electronics/nvc/nvc-1.13.0.ebuild114
-rw-r--r--sci-electronics/pcb/pcb-4.2.2.ebuild4
-rw-r--r--sci-electronics/pcb/pcb-4.3.0.ebuild4
-rw-r--r--sci-electronics/qelectrotech/metadata.xml5
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-0.80.ebuild2
-rw-r--r--sci-electronics/vbs/Manifest1
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch11
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch105
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch30
-rw-r--r--sci-electronics/vbs/metadata.xml13
-rw-r--r--sci-electronics/vbs/vbs-1.4.0.ebuild40
-rw-r--r--sci-electronics/xnec2c/Manifest1
-rw-r--r--sci-electronics/xnec2c/xnec2c-4.4.16.ebuild56
-rw-r--r--sci-electronics/xoscope/xoscope-2.3.ebuild2
64 files changed, 1155 insertions, 471 deletions
diff --git a/sci-electronics/NanoVNA-QT/metadata.xml b/sci-electronics/NanoVNA-QT/metadata.xml
index 84af1563757c..833cf3134f85 100644
--- a/sci-electronics/NanoVNA-QT/metadata.xml
+++ b/sci-electronics/NanoVNA-QT/metadata.xml
@@ -1,10 +1,7 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
- <maintainer type="person">
- <email>marecki@gentoo.org</email>
- <name>Marek Szuba</name>
- </maintainer>
+ <!-- maintainer-needed -->
<upstream>
<remote-id type="github">nanovna-v2/NanoVNA-QT</remote-id>
</upstream>
diff --git a/sci-electronics/gazebo/files/boost.patch b/sci-electronics/gazebo/files/boost.patch
new file mode 100644
index 000000000000..56f26387c58c
--- /dev/null
+++ b/sci-electronics/gazebo/files/boost.patch
@@ -0,0 +1,49 @@
+From 6b4d0f0b94cb2d98e6a48970c1c128e9b4f13e47 Mon Sep 17 00:00:00 2001
+From: Steve Peters <scpeters@openrobotics.org>
+Date: Fri, 10 May 2024 15:39:02 +0200
+Subject: [PATCH 1/2] Fix build with boost 1.85.0
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ gazebo/common/Console.cc | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/gazebo/common/Console.cc b/gazebo/common/Console.cc
+index 6b86bc2d02..bb4dbe3180 100644
+--- a/gazebo/common/Console.cc
++++ b/gazebo/common/Console.cc
+@@ -221,7 +221,7 @@ void FileLogger::Init(const std::string &_prefix, const std::string &_filename)
+ if (boost::filesystem::is_directory(logPath))
+ this->logDirectory = logPath.string();
+ else
+- this->logDirectory = logPath.branch_path().string();
++ this->logDirectory = logPath.parent_path().string();
+ }
+
+ /////////////////////////////////////////////////
+
+From 27c2277a2376f9d82755e975ae8b564d9d6ca6ed Mon Sep 17 00:00:00 2001
+From: Steve Peters <scpeters@openrobotics.org>
+Date: Fri, 10 May 2024 17:43:32 +0200
+Subject: [PATCH 2/2] Fix build error in LogPlay.cc as well
+
+Signed-off-by: Steve Peters <scpeters@openrobotics.org>
+---
+ gazebo/util/LogPlay.cc | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/gazebo/util/LogPlay.cc b/gazebo/util/LogPlay.cc
+index 70cfcc3346..be00820edb 100644
+--- a/gazebo/util/LogPlay.cc
++++ b/gazebo/util/LogPlay.cc
+@@ -414,8 +414,8 @@ common::Time LogPlay::LogEndTime() const
+ /////////////////////////////////////////////////
+ std::string LogPlay::Filename() const
+ {
+- return boost::filesystem::basename(this->dataPtr->filename) +
+- boost::filesystem::extension(this->dataPtr->filename);
++ boost::filesystem::path path(this->dataPtr->filename);
++ return path.stem().string() + path.extension().string();
+ }
+
+ /////////////////////////////////////////////////
diff --git a/sci-electronics/gazebo/gazebo-11.14.0.ebuild b/sci-electronics/gazebo/gazebo-11.14.0.ebuild
index 1746085d2235..04caee69b302 100644
--- a/sci-electronics/gazebo/gazebo-11.14.0.ebuild
+++ b/sci-electronics/gazebo/gazebo-11.14.0.ebuild
@@ -66,6 +66,7 @@ PATCHES=(
"${FILESDIR}/qwt2.patch"
"${FILESDIR}/cmake.patch"
"${FILESDIR}/gv10.patch"
+ "${FILESDIR}/boost.patch"
)
src_configure() {
diff --git a/sci-electronics/geda-suite/geda-suite-20171223-r1.ebuild b/sci-electronics/geda-suite/geda-suite-20171223-r2.ebuild
index 2037f821735f..760f14c4017e 100644
--- a/sci-electronics/geda-suite/geda-suite-20171223-r1.ebuild
+++ b/sci-electronics/geda-suite/geda-suite-20171223-r2.ebuild
@@ -1,17 +1,17 @@
-# Copyright 1999-2020 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
-EAPI=6
+EAPI=8
HOMEPAGE="http://www.geda.seul.org"
DESCRIPTION="Metapackage for all components for a full-featured gEDA/gaf system"
-IUSE=''
LICENSE="GPL-2"
KEYWORDS="~amd64 ~x86"
SLOT="0"
-RDEPEND="sci-electronics/geda
+RDEPEND="
+ sci-electronics/geda
sci-electronics/gerbv
>=sci-electronics/gnucap-0.35.20091207
>=sci-electronics/gwave-20090213-r1
@@ -20,4 +20,5 @@ RDEPEND="sci-electronics/geda
sci-electronics/ngspice
sci-electronics/gspiceui
>=sci-electronics/gnetman-0.0.1_pre20110124
- sci-electronics/gtkwave"
+ sci-electronics/gtkwave
+"
diff --git a/sci-electronics/gerbv/gerbv-2.7.0.ebuild b/sci-electronics/gerbv/gerbv-2.7.0.ebuild
index 8bca34e8cf4c..6178a2b00050 100644
--- a/sci-electronics/gerbv/gerbv-2.7.0.ebuild
+++ b/sci-electronics/gerbv/gerbv-2.7.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit xdg
DESCRIPTION="A RS-274X (Gerber) and NC drill (Excellon) file viewer"
HOMEPAGE="http://gerbv.geda-project.org/"
-SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
index 73dd128b62a4..e69dd5a5d142 100644
--- a/sci-electronics/ghdl/Manifest
+++ b/sci-electronics/ghdl/Manifest
@@ -1,3 +1,2 @@
DIST ghdl-4.0.0.tar.gz 7683124 BLAKE2B 2b43f1a24c9fb30f754ae6326b21fd52991f3bd69fc1c2dfbd3064d950cef74f7e66f2a846778e6429fbfae07d349f913993d91c52ec53cdad894b06f810cb03 SHA512 95b08274f2ed54556fbe2e72ce52605d9ca8269b535306348d25a259615a0610b59a61fb4458e0c1e548570361af15d45d89941bf4ab4afd2fafb10b07e69cae
-DIST ghdl-4.0.0_pre20231218.tar.gz 7761842 BLAKE2B d5b3ae4c28b245881681695cee74eca1d69092d8089cb1ec042f42d26e62aa420ace8f04899023d98259d845ea89700d77c453b892c176d4d1b367ac7a8237a6 SHA512 6b9ac7221bee5b8ec2cc7971fbad5aff615839aa3c3170fa39079c68e65da8b4a8d60f5c53d9fa88146c9f865b0d8a059fd03358e20b660b6543cf1b0c0b0c84
DIST ghdl-4.1.0.tar.gz 7704716 BLAKE2B d69791d947ed11b62fac8e076c3b9e1bad606a0de57e8d5bd67c9a8f7ea0cad73643085efbaaa06fabdd5900366334b63385589da72f4db488f5b27b142a76f8 SHA512 0a3a465f7f8ed7fba9b81b5dec2903bf82731c97a127666e7644286c9ea80078354be4e6bf8947a6ef631b646dc7e8e69dce2d9a467ac36ee03c67d9335a2703
diff --git a/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild b/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
index 91b707eb0ca7..7fb1396f35c1 100644
--- a/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
+++ b/sci-electronics/ghdl/ghdl-4.0.0-r1.ebuild
@@ -20,7 +20,7 @@ else
SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
-> ${P}.tar.gz"
- KEYWORDS="~amd64 ~x86"
+ KEYWORDS="amd64 ~x86"
fi
LICENSE="GPL-2+"
diff --git a/sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild b/sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild
deleted file mode 100644
index 423768c69164..000000000000
--- a/sci-electronics/ghdl/ghdl-4.0.0_pre20231218-r1.ebuild
+++ /dev/null
@@ -1,84 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=8
-
-[[ "${PV}" = *_pre20231218 ]] && COMMIT=2135cbf1458bd1b8b8f42bda81222ab57bd66de6
-
-ADA_COMPAT=( gnat_2021 gcc_13 )
-LLVM_MAX_SLOT=17 # Check "configure" script for supported LLVM versions.
-
-inherit ada edo llvm toolchain-funcs
-
-DESCRIPTION="Open-source analyzer, compiler, and simulator for VHDL 2008/93/87"
-HOMEPAGE="https://ghdl.github.io/ghdl/
- https://github.com/ghdl/ghdl/"
-
-if [[ "${PV}" == *9999* ]] ; then
- inherit git-r3
-
- EGIT_REPO_URI="https://github.com/ghdl/${PN}.git"
-else
- SRC_URI="https://github.com/ghdl/${PN}/archive/${COMMIT}.tar.gz
- -> ${P}.tar.gz"
- S="${WORKDIR}/${PN}-${COMMIT}"
-
- KEYWORDS="amd64 ~x86"
-fi
-
-LICENSE="GPL-2+"
-SLOT="0"
-IUSE="llvm"
-REQUIRED_USE="${ADA_REQUIRED_USE}"
-
-RDEPEND="
- ${ADA_DEPS}
- llvm? ( <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):= )
-"
-DEPEND="
- ${RDEPEND}
-"
-BDEPEND="
- dev-util/patchelf
-"
-
-PATCHES=( "${FILESDIR}/${PN}-4.0.0_pre20231218-no-pyunit.patch" )
-
-pkg_setup() {
- ada_pkg_setup
-
- use llvm && llvm_pkg_setup
-}
-
-src_prepare() {
- default
-
- sed -i "s|ar rc|$(tc-getAR) rc|g" Makefile.in || die
-}
-
-src_configure() {
- tc-export CC CXX
-
- local -a myconf=(
- --disable-werror
-
- --libdir=$(get_libdir)
- --prefix=/usr
-
- --enable-libghdl
- --enable-synth
- )
-
- if use llvm ; then
- myconf+=( --with-llvm-config=llvm-config )
- fi
-
- # Not a autotools script!
- edo sh ./configure "${myconf[@]}"
-}
-
-src_compile() {
- default
-
- patchelf --set-soname libghw.so lib/libghw.so || die
-}
diff --git a/sci-electronics/ghdl/ghdl-4.1.0.ebuild b/sci-electronics/ghdl/ghdl-4.1.0.ebuild
index 91b707eb0ca7..7fb1396f35c1 100644
--- a/sci-electronics/ghdl/ghdl-4.1.0.ebuild
+++ b/sci-electronics/ghdl/ghdl-4.1.0.ebuild
@@ -20,7 +20,7 @@ else
SRC_URI="https://github.com/ghdl/${PN}/archive/v${PV}.tar.gz
-> ${P}.tar.gz"
- KEYWORDS="~amd64 ~x86"
+ KEYWORDS="amd64 ~x86"
fi
LICENSE="GPL-2+"
diff --git a/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild b/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild
index 28ce47c011f6..35d1e4597f47 100644
--- a/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild
+++ b/sci-electronics/gspeakers/gspeakers-0.11-r2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2021 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit autotools flag-o-matic gnome2
DESCRIPTION="GTK based loudspeaker enclosure and crossovernetwork designer"
HOMEPAGE="http://gspeakers.sourceforge.net/"
-SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${P}.tar.gz"
LICENSE="LGPL-2.1"
SLOT="0"
diff --git a/sci-electronics/gspiceui/Manifest b/sci-electronics/gspiceui/Manifest
index 8e067dce86db..b15a5fcc43e7 100644
--- a/sci-electronics/gspiceui/Manifest
+++ b/sci-electronics/gspiceui/Manifest
@@ -1,2 +1,2 @@
-DIST gspiceui-v1.1.00.tar.gz 1048236 BLAKE2B cc3d14137517cd26687dabac7e001e7518fee91aedc74f1995ac763873345f2965c3edb4e037ecb6ac8ca874289b2e658fe3185d95b3eeaf2b349efab151f37b SHA512 46ccf3dd7a5dd9ec1cac1eb742187ecab15a6c0033467d52a44c916e239696faf7b8797e1a155191cf0ed37b04619b0666cff51e78912a06ecd2c63d377cba93
+DIST gspiceui-1.3.30_pre20240321.zip 80103549 BLAKE2B 5cdbd2338dd057d73a01572e75a7af145bca99f3e9339d259ae3615076e26a5c1614eecdd1e32cd669ecdd2a5cc1e3934dc3d3f1b36980c7a2eed7c6c15e1bb6 SHA512 736f7eafbaa26c3d9b3fa0df1ae5071adf21c0716519a99a0b9d199aa10a0dd402273de51d2e16b07be4c145ab2d34e133c515a8cfbb44068aa46cdc36a20658
DIST gspiceui-v1.2.87.tar.gz 1089733 BLAKE2B c1801bfebd5d42fe9c1ab26e6fd0cb06b56cac53bbad272522896325e5fd979e6fcc63d6f99262b152180f249b5b2d4aa119aa83254a2fc07256574c9ebaef10 SHA512 081d04c39f4fb96139f12fa063dfb888e036948a00a6b55de3b04a49b2b4af01b45fd9b7f903855d798a71957452550dfa8c8505c167c239e27ada29cb6fa747
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
deleted file mode 100644
index b6c3d36a544e..000000000000
--- a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
+++ /dev/null
@@ -1,26 +0,0 @@
---- a/src/Makefile
-+++ b/src/Makefile
-@@ -69,13 +69,7 @@
- # -Ofast Optimize till it hurts : "-O3" + enable opts not valid for all standard-compliants
- # -Os Optimize for size
- # -Og Optimize debugging experience but don't break debugging
--ifeq ($(GSPICEUI_DBG),0)
-- # Options for release (not using -Wall since it's GCC specific)
-- CXXFLAGS := -O1 -pipe $(shell $(WXCFG) --cxxflags)
--else
-- # Options for development
-- CXXFLAGS := -g -Og -Wall -Wextra -pipe $(shell $(WXCFG) --cxxflags)
--endif
-+ CXXFLAGS += $(shell $(WXCFG) --cxxflags)
-
- # The following suppresses spurious warnings from gcc with wxWidgets v2.8.12
- ifeq ($(GSPICEUI_WXLIB),2.8)
-@@ -134,7 +128,7 @@
- # -o specify the output file name
-
- $(BINDIR)/$(PROG) : $(OBJS)
-- $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
-+ $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
- ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
- cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
- endif
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch
new file mode 100644
index 000000000000..531491829bd3
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-1.3.30_pre20240321-respect-users-flags.patch
@@ -0,0 +1,50 @@
+--- a/src/Makefile.old 2024-06-21 11:25:45.990728109 +0200
++++ b/src/Makefile 2024-06-21 11:33:20.289571247 +0200
+@@ -46,8 +46,8 @@
+ #***************************************************************************************************
+
+ # Which compiler and linker (eg. g++ or clang++)
+-CXX = g++
+-LD = g++
++CXX ?= g++
++LD ?= g++
+ ifneq ($(GSPICEUI_MSWIN),0)
+ LD += -static-libstdc++ -static-libgcc
+ WINDRES = windres
+@@ -108,17 +108,7 @@
+ # -fabi-version=N Use version N of the C++ ABI (this choice must match the wxWidgets library)
+
+ CXXFLAGS := -std=c++17 -fabi-version=14
+-ifeq ($(GSPICEUI_DEBUG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS += -O3
+-else
+- # Options for development
+- CXXFLAGS += -g3 -O0 -Wall -Wextra -Wpedantic
+-
+- # The following warning has been disabled because I don't know how to fix it
+- CXXFLAGS += -Wno-overloaded-virtual
+-endif
+-CXXFLAGS += -pipe $(shell $(WXCFG) --cxxflags)
++CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # I like to compile using the option "-Wall" etc. however tests that break wxWidgets are turned off
+ ifneq ($(GSPICEUI_DEBUG),0)
+@@ -231,7 +221,7 @@
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(LD) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CXX) -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
+@@ -296,7 +286,7 @@
+
+ # Compiler options
+
+-test_% : CXXFLAGS = -Wall -g -pipe $(shell $(WXCFG) --cxxflags)
++test_% : CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+ test_% : CXXFLAGS += -D $(shell echo $@ | tr "[:lower:]" "[:upper:]")
+ # Libraries
+ test_% : LIBS = $(shell $(WXCFG) --libs core,base)
diff --git a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
deleted file mode 100644
index daaf5cffbd0f..000000000000
--- a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
+++ /dev/null
@@ -1,85 +0,0 @@
-# Copyright 1999-2023 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=7
-
-WX_GTK_VER="3.0"
-inherit desktop flag-o-matic toolchain-funcs wxwidgets
-
-MY_P="${PN}-v${PV}0"
-
-DESCRIPTION="GUI frontend for Ngspice and Gnucap"
-HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
-
-LICENSE="GPL-3"
-SLOT="0"
-KEYWORDS="amd64 ~x86"
-IUSE="examples schematics waveform"
-
-DEPEND="
- x11-libs/wxGTK:${WX_GTK_VER}[X]
- sci-electronics/electronics-menu"
-RDEPEND="
- ${DEPEND}
- || (
- sci-electronics/ngspice
- sci-electronics/gnucap
- )
- waveform? ( sci-electronics/gwave )
- schematics? ( sci-electronics/geda )"
-
-PATCHES=(
- # Use Gentoo LDFLAGS and CXXFLAGS
- "${FILESDIR}"/${P}-flags.patch
-)
-
-src_prepare() {
- default
-
- # Adjusting the doc path at src/main/HelpTasks.cpp
- sed -i -e \
- "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
- src/main/HelpTasks.cpp || die
-
- # Adjusting call to gwave program
- sed -i -e "s/gwave2/gwave/g" src/TypeDefs.hpp || die
-}
-
-src_configure() {
- setup-wxwidgets
-
- # bug 553968
- replace-flags -O? -O1
-
- default
-}
-
-src_compile() {
- emake CXX="$(tc-getCXX)"
-}
-
-src_install() {
- dobin bin/gspiceui
-
- HTML_DOCS=( html/*.html html/*.jpg html/*.png )
- einstalldocs
- dodoc ChangeLog ReadMe ToDo release-notes-v1.1.00.txt
- doman gspiceui.1
-
- # installing examples and according model and symbol files
- use examples && dodoc -r lib sch
-
- newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm
- make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
-}
-
-pkg_postinst() {
- if use examples ; then
- elog "If you want to use the examples, copy and extract from"
- elog "${EROOT}/usr/share/doc/${PF} the sch and lib directory"
- elog "side by side to your home directory to be able"
- elog "to generate the netlists as normal user."
- fi
-}
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild b/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
index 88313ee09ad6..457548d8a92c 100644
--- a/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
+++ b/sci-electronics/gspiceui/gspiceui-1.2.87.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -10,7 +10,7 @@ MY_P="${PN}-v${PV}"
DESCRIPTION="GUI frontend for Ngspice and Gnucap"
HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
S="${WORKDIR}/${MY_P}"
LICENSE="GPL-3"
diff --git a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild b/sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild
index 35a1c7747a8f..9b8cb5aa5a98 100644
--- a/sci-electronics/gspiceui/gspiceui-1.2.87-r1.ebuild
+++ b/sci-electronics/gspiceui/gspiceui-1.3.30_pre20240321.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -6,12 +6,14 @@ EAPI=8
WX_GTK_VER="3.2-gtk3"
inherit desktop optfeature wxwidgets xdg
-MY_P="${PN}-v${PV}"
-
DESCRIPTION="GUI frontend for Ngspice and Gnucap"
HOMEPAGE="https://sourceforge.net/projects/gspiceui/"
-SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
-S="${WORKDIR}/${MY_P}"
+#SRC_URI="https://downloads.sourceforge.net/${PN}/${MY_P}.tar.gz"
+MY_REV="382"
+# SF source is temporal
+#SRC_URI="https://sourceforge.net/code-snapshots/svn/g/gs/${PN}/code/${PN}-code-r${MY_REV}-trunk.zip -> ${P}.zip"
+SRC_URI="https://dev.gentoo.org/~pacho/${PN}/${PN}-code-r${MY_REV}-trunk.zip -> ${P}.zip"
+S="${WORKDIR}/${PN}-code-r${MY_REV}-trunk"
LICENSE="GPL-3"
SLOT="0"
@@ -29,29 +31,23 @@ RDEPEND="
sci-electronics/gnucap
)
"
+BDEPEND="app-arch/unzip"
PATCHES=(
# Use Gentoo LDFLAGS and CXXFLAGS
+ # https://sourceforge.net/p/gspiceui/bugs/30/
"${FILESDIR}"/${P}-respect-users-flags.patch
)
-src_prepare() {
- default
-
- # Adjusting the doc path at src/main/FrmHtmlVwr.cpp
- sed -i -e \
- "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
- src/main/FrmHtmlVwr.cpp || die
-}
-
src_configure() {
setup-wxwidgets
default
}
src_compile() {
- # GSPICEUI_WXLIB=3.0 also works for 3.2
- emake GSPICEUI_WXLIB=3.0
+ export HOME="${T}"
+ mkdir -p "${T}/.config"
+ emake GSPICEUI_WXLIB=3.2 GSPICEUI_DEBUG=0
}
src_install() {
@@ -59,7 +55,7 @@ src_install() {
einstalldocs
dodoc html/*.html html/*.jpg html/*.png
- dodoc ChangeLog ReadMe ToDo release-notes-v${PV}.txt
+ dodoc ChangeLog ReadMe ToDo
doman gspiceui.1
# installing examples and according model and symbol files
diff --git a/sci-electronics/gspiceui/metadata.xml b/sci-electronics/gspiceui/metadata.xml
index c0d060cb2ffc..b4f5b4f99af0 100644
--- a/sci-electronics/gspiceui/metadata.xml
+++ b/sci-electronics/gspiceui/metadata.xml
@@ -5,10 +5,6 @@
<email>sci-electronics@gentoo.org</email>
<name>Gentoo Electronics Project</name>
</maintainer>
- <use>
- <flag name="schematics">Use <pkg>sci-electronics/geda</pkg> for schematics editing</flag>
- <flag name="waveform">Use <pkg>sci-electronics/gwave</pkg> for waveform display</flag>
- </use>
<upstream>
<remote-id type="sourceforge">gspiceui</remote-id>
</upstream>
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
index 62fd0865a965..f9b8df0f1954 100644
--- a/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
+++ b/sci-electronics/gtkwave/gtkwave-3.3.106.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit toolchain-funcs xdg
DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
HOMEPAGE="http://gtkwave.sourceforge.net/"
-SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${P}.tar.gz"
LICENSE="GPL-2 MIT"
SLOT="0"
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
index 931882a1de78..637b98a1bdc6 100644
--- a/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
+++ b/sci-electronics/gtkwave/gtkwave-3.3.111.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -7,7 +7,7 @@ inherit toolchain-funcs xdg
DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
HOMEPAGE="http://gtkwave.sourceforge.net/"
-SRC_URI="mirror://sourceforge/${PN}/${PN}-gtk3-${PV}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/${PN}-gtk3-${PV}.tar.gz"
LICENSE="GPL-2 MIT"
SLOT="0"
diff --git a/sci-electronics/gwave/gwave-20190116.ebuild b/sci-electronics/gwave/gwave-20190116.ebuild
index e86b222f850b..6c3e20e41063 100644
--- a/sci-electronics/gwave/gwave-20190116.ebuild
+++ b/sci-electronics/gwave/gwave-20190116.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit autotools desktop xdg-utils
DESCRIPTION="Analog waveform viewer for SPICE-like simulations"
HOMEPAGE="http://gwave.sourceforge.net"
-SRC_URI="mirror://sourceforge/${PN}/gwave3/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/${PN}/gwave3/${P}.tar.gz"
LICENSE="GPL-2"
KEYWORDS="amd64 ~x86"
diff --git a/sci-electronics/irsim/Manifest b/sci-electronics/irsim/Manifest
index 144ec603afab..9bbbd570fb56 100644
--- a/sci-electronics/irsim/Manifest
+++ b/sci-electronics/irsim/Manifest
@@ -1 +1,2 @@
+DIST irsim-9.7.93-patches.tar.xz 8736 BLAKE2B 3377e8d0c7f24227930ff233b9b17f19033b3e1506ab2f72634255ce00be98841921fe68b51201f8a399d4edb4d43de00ebbe31065b5e79ef462775affc12764 SHA512 c814428d1c71e8fc69f2b19d5e69886f54ae054134c07ad72e0187b04f1a99a0267aa3c33982a264d7132bd93057cffe0aa325bd211d551e39597d5737150989
DIST irsim-9.7.93.tgz 465721 BLAKE2B f40e1216f51a51a38ee52628532989ee307db1ff3b0daa4f047a1a3eb04bd49d903382f67c1c4bae4366147f4448eb9699cdc47cffe712fa70a9d34c5bf13d00 SHA512 441a803935c178bdd663360df058142c07d91aecd158d6be8f10b670fc2a295e79839914de6a85ced58a79591625c514e2ceabe87486092d8a1784c7e47a02b3
diff --git a/sci-electronics/irsim/irsim-9.7.93-r1.ebuild b/sci-electronics/irsim/irsim-9.7.93-r1.ebuild
new file mode 100644
index 000000000000..38552dd190d4
--- /dev/null
+++ b/sci-electronics/irsim/irsim-9.7.93-r1.ebuild
@@ -0,0 +1,46 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="IRSIM is a \"switch-level\" simulator"
+HOMEPAGE="http://opencircuitdesign.com/irsim/"
+SRC_URI="http://opencircuitdesign.com/irsim/archive/${P}.tgz"
+SRC_URI+=" https://dev.gentoo.org/~sam/distfiles/${CATEGORY}/${PN}/${P}-patches.tar.xz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+
+RDEPEND="
+ dev-lang/tcl:=
+ dev-lang/tk:=
+"
+DEPEND="${RDEPEND}"
+BDEPEND="app-shells/tcsh"
+
+PATCHES=(
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.72-ldflags.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.79-datadir.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.93-clean-makefile.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.93-C99-port.patch
+ "${WORKDIR}"/${P}-patches/${PN}-9.7.93-Makefile.patch
+)
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ cd scripts || die
+ econf
+}
+
+pkg_postinst() {
+ einfo
+ einfo "You will probably need to add to your ~/.Xdefaults"
+ einfo "the following line:"
+ einfo "irsim.background: black"
+ einfo
+ einfo "This is needed because Gentoo from default sets a"
+ einfo "grey background which makes impossible to see the"
+ einfo "simulation (white line on light gray background)."
+ einfo
+}
diff --git a/sci-electronics/irsim/metadata.xml b/sci-electronics/irsim/metadata.xml
index 59be6adb7fb2..c9ff90a10614 100644
--- a/sci-electronics/irsim/metadata.xml
+++ b/sci-electronics/irsim/metadata.xml
@@ -12,4 +12,7 @@
little bit more realistic than the ideal, using the RC time constants
to predict the relative timing of events.
</longdescription>
+ <upstream>
+ <remote-id type="github">RTimothyEdwards/irsim</remote-id>
+ </upstream>
</pkgmetadata>
diff --git a/sci-electronics/kicad-footprints/Manifest b/sci-electronics/kicad-footprints/Manifest
index 793e9119890f..404c5b0d191c 100644
--- a/sci-electronics/kicad-footprints/Manifest
+++ b/sci-electronics/kicad-footprints/Manifest
@@ -3,3 +3,5 @@ DIST kicad-footprints-7.0.11.tar.bz2 22722611 BLAKE2B f081eee0d8d2f9c691d7326dbc
DIST kicad-footprints-7.0.7.tar.bz2 24411508 BLAKE2B 1abedfbc3dfab302b5f929b01f2a83e83a4fee6b1d5056bf2c3579068c56807c656dea918f4c37fe030b727d3effbc35063f4f4103c4cfa6071f95c22d507597 SHA512 8af1fb371034bd5b22e0a8a896fa61e4eb0bda5b282759e17872bf4b466f49b39aa56ae068ac3d7d5a7453d9a7d511a81317d3f267a90d2764b2b8282f64aef0
DIST kicad-footprints-8.0.0.tar.bz2 26563192 BLAKE2B a575c3f7dc00ab14e6b7b9a52b56dfaa08c87aa4d53528fd59bfba3c5adf78e8ae98377cc3087db3dc6ae677046388f011062930ae07c427533b7254b99cbddd SHA512 6ad9863f3469523d48f5daf9b999177b0d6f43c347a390f3f55fcb8700f016c84c3513b1ee3e6f95112b1cadc3f7ee1c6c6f74b44d6b27aaa19f860d79e7e7de
DIST kicad-footprints-8.0.1.tar.bz2 26591094 BLAKE2B 2df726033e818cfc1abd4fc71c15d3ab63c70d02708f2affe2ec1acc0b7445ec8dca4b4efabff06345df05ba11d6ddc7eb4f60c37c85fb641631f08890958b0a SHA512 940ce1208f6c1b50bdaf28027f66a9aa690855631b5b2bf445c1b277c75ecd46f68c91ef6fc221d69f54d00e42c0a7a56c27daafb83dfb5cc4d486cfa29216bd
+DIST kicad-footprints-8.0.2.tar.bz2 26517366 BLAKE2B d65b1c64f9c009ad8d232198544e351949137d567a4ed77bad6ed46e2e4eefd2dad8437ed8c11992fde4657be25d93e9f3fc69f50be846df480cf393f2dfb6d3 SHA512 b194aa4396209f248d21e089078be17844182275c252b4a9d8a013860d91983589a835c89f7d64e83bbd90ba9dc4ea0254377a04a3ce8b3313400c105e6febd5
+DIST kicad-footprints-8.0.3.tar.bz2 26585619 BLAKE2B ee9383770b4ebcab5cbf7576c71d71694c05760525267167923c3f8dd4d075c87d13402190455f9e7134815ea36ae8ca0fd84b51317f31120e816950cf42db15 SHA512 f61e56a4519708da19f9850f3102a0efbf73ebf276f821c1fc9f57d88f01ac7454002a32b8da7912bdfa2a4c5264254fad5d579329ea5eaf147ef2aade23ecc6
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.2.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild
new file mode 100644
index 000000000000..7882a2e18c3c
--- /dev/null
+++ b/sci-electronics/kicad-footprints/kicad-footprints-8.0.3.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools footprint libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-footprints"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-footprints.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+# x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
index 01a1128e741e..7882a2e18c3c 100644
--- a/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
+++ b/sci-electronics/kicad-footprints/kicad-footprints-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -20,7 +20,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-6.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.2.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild b/sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild
new file mode 100644
index 000000000000..e47e613dc36e
--- /dev/null
+++ b/sci-electronics/kicad-meta/kicad-meta-8.0.3.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+DESCRIPTION="Electronic Schematic and PCB design tools (meta package)"
+HOMEPAGE="http://www.kicad.org"
+
+LICENSE="metapackage"
+SLOT="0"
+
+KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+
+IUSE="doc minimal"
+
+RDEPEND="
+ >=sci-electronics/kicad-${PV}
+ >=sci-electronics/kicad-symbols-${PV}
+ >=sci-electronics/kicad-footprints-${PV}
+ doc? (
+ >=app-doc/kicad-doc-${PV}
+ )
+ !minimal? (
+ >=sci-electronics/kicad-packages3d-${PV}
+ >=sci-electronics/kicad-templates-${PV}
+ )
+"
diff --git a/sci-electronics/kicad-packages3d/Manifest b/sci-electronics/kicad-packages3d/Manifest
index c5b41ed88400..85bd40d01a6a 100644
--- a/sci-electronics/kicad-packages3d/Manifest
+++ b/sci-electronics/kicad-packages3d/Manifest
@@ -3,3 +3,5 @@ DIST kicad-packages3d-7.0.11.tar.bz2 774735333 BLAKE2B af24617db4864b4630413132a
DIST kicad-packages3d-7.0.7.tar.bz2 772674558 BLAKE2B 9223317ca29e7d09423bc743df93471f4cff65a44113e9946479c9f59ceae86268cad68bf570d08d52e2ad123095ca3e2beb8249297bdb5b0f21c23f65aed9d6 SHA512 ae4d1be2dc9196acbf8e2314886f5639e4b20a922854dfce7a7eceb89cd0497d6649a5d9043870221f585a2fe9c68c2ac4ba4ccf2ede13596e4f89ebb24cc19c
DIST kicad-packages3d-8.0.0.tar.bz2 774767823 BLAKE2B b97f8fa0096a274a836c1f500b5cdcaee1999f8073754c6666196b24c1640042183b37238fef6e01d1a4788ad026f51503cb83d721308e78d53c54ce9000ef0c SHA512 8f62dbf5f6265c1205814ab158458acf27c28f79043f9261c8ddc31c9db47920b693813c4335aa9f3f98bc9bf4a363e6103b7eec2e8b4e5a5d386510dcc121d5
DIST kicad-packages3d-8.0.1.tar.bz2 773486331 BLAKE2B 40c3166abb029276dc39f44d469231c1df6e271d95a7826e7119bf8c706944ec9b7478019a4f97a7e81350da793642099eddc7be078cda2896ea99d181609340 SHA512 75800ff5d68a8660130cd114365a53ed72f6fc8ed09fda10e0270a519c575ec576936b65184aa957e49d7300abc4250f7bc0b61a98f69c17dd50a4a42bd51ef7
+DIST kicad-packages3d-8.0.2.tar.bz2 775246257 BLAKE2B e6d64f4c19ade481859ae88132d0a697434d127d100cb9c47f846474004bed9b52a6941747ee663935f14882c30a608444f989a298b4dc95774d8da866edd572 SHA512 861b0bf0b1259db2387eddec65fc4d1e730172257f6bd5c91931884fe3ff4e2a8b90dab41e4e6d6304dd1c8280a22d725d0480d8925e58a1c02785fdce472d86
+DIST kicad-packages3d-8.0.3.tar.bz2 785569695 BLAKE2B 7e8a125e37873b0bc4b0ff64e5d331f0c74ebd0e6611d30f2a6f2732fea9b67552ae3ac4de6466d2c64ed21c7af02f80186ad9370c0d97aabb82cb2cb663a76b SHA512 60192eba5413cc76d84f4065420fb8a01a80cb9b5cbfb58ba197ebe10befbdd33d9d4ccb75d33c45de791a8fc635bd1225aaf38ad61c5f1a3ce99d03376e44a0
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.2.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild
new file mode 100644
index 000000000000..d4b12654f757
--- /dev/null
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-8.0.3.ebuild
@@ -0,0 +1,31 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit check-reqs cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools 3D package libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-packages3D"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-packages3D.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/kicad-packages3D/-/archive/${PV}/kicad-packages3D-${PV}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN/3d/3D}-${PV}"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND=">=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="11G"
diff --git a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
index ff642dcbe455..d4b12654f757 100644
--- a/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
+++ b/sci-electronics/kicad-packages3d/kicad-packages3d-9999.ebuild
@@ -21,7 +21,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-7.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-symbols/Manifest b/sci-electronics/kicad-symbols/Manifest
index f28ff4439aa4..9bc8a440e58f 100644
--- a/sci-electronics/kicad-symbols/Manifest
+++ b/sci-electronics/kicad-symbols/Manifest
@@ -3,3 +3,5 @@ DIST kicad-symbols-7.0.11.tar.bz2 3369887 BLAKE2B a32be647927ea56e5f806a38983001
DIST kicad-symbols-7.0.7.tar.bz2 3026949 BLAKE2B 9f2db4e1e63333fb1ca1625bc7218ca5163e6557f27978215ebc0c858990be09352e62f473928cdd28624a6543d7c0415536e0d09b54c8cc77d17a18caa3e788 SHA512 f66cb91b8192aee70a921f6f7c83e5b1fe2354ced2b7f97ec693af47cfa0eed261e23bb4774029918a991f5b35cb2a65b0831fee097a92bcc8a1e2c22f85fed8
DIST kicad-symbols-8.0.0.tar.bz2 3645950 BLAKE2B 88c3ff83cc9bfa3af347d0bd61d1ed1ed37f7fc37f385238331d71de72def16d39b1480ebb2a828a2182290fd02868b557a45b38b1ce8af26562235334b00f4b SHA512 ede78b1e876a63fe1e6adcb108ccbf31d1e53e9198dbe933ebf0a86efe550f80588e7611f990dde9b5614f37ea7be186a8733df310e3c01a7a43425f478e173a
DIST kicad-symbols-8.0.1.tar.bz2 3639516 BLAKE2B 0f017f446705b0fe1e3fa1d44881ffef2dfbfc4f7d072d49e8c891f4de857700d29fc59bcadf266850e337655314e931ea19782d7f2dc88d20c556bce605127a SHA512 4acd7e203c6c3c1564569825a5ed17f70e96e92492582b853a5199cc4d3bb0833a1b5c55addc3f3360de5a0f9107b15e358229df2a3714b649cdc97e881ad876
+DIST kicad-symbols-8.0.2.tar.bz2 3638897 BLAKE2B 91c840d5c737a5aff9e90bc2a04f1e7de5c9500c083ef4494b658c6232580928042841773cf939bf0f7f85a1d7059523ccce2e2ca31631831927c41e7e9c7d38 SHA512 d6403e18ff6058e990aa9a272cb711915aa96fcf85b9a95aaa4ea1b26deadba998c395a6eb9d81003b6af626341b94f428f094ab28598f430479961cd4869f5d
+DIST kicad-symbols-8.0.3.tar.bz2 3671038 BLAKE2B 6a76254b87ae14efd0d8e7edf2569755be902f16ef567c5b4bdd12b9c3e75a7a94437942e4affce378467daaa433d2ddb22fe32f7e39e5a60bd52e91faff23e2 SHA512 60ac5249281e783eae58d368b6521dbb5f0ef524a2be81d04b9578e6540baacf597300b5ac67566d2f91befbf67366eb8bb6cd0036b8773ecd753d91f5ab6cf8
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.2.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild
new file mode 100644
index 000000000000..4cea180f1254
--- /dev/null
+++ b/sci-electronics/kicad-symbols/kicad-symbols-8.0.3.ebuild
@@ -0,0 +1,28 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools symbol libraries"
+HOMEPAGE="https://gitlab.com/kicad/libraries/kicad-symbols"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/kicad-symbols.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
diff --git a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
index af9033e23412..4cea180f1254 100644
--- a/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
+++ b/sci-electronics/kicad-symbols/kicad-symbols-9999.ebuild
@@ -20,7 +20,7 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-6.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
if [[ ${PV} == 9999 ]] ; then
# x11-misc-util/macros only required on live ebuilds
diff --git a/sci-electronics/kicad-templates/Manifest b/sci-electronics/kicad-templates/Manifest
index 168089e44a76..260b48d0c395 100644
--- a/sci-electronics/kicad-templates/Manifest
+++ b/sci-electronics/kicad-templates/Manifest
@@ -3,3 +3,5 @@ DIST kicad-templates-7.0.11.tar.bz2 1348043 BLAKE2B 8aa60440fb2344dbcf2336ed7869
DIST kicad-templates-7.0.7.tar.bz2 1247513 BLAKE2B 7660829ff7474bb703011542a66bb63db9e011f717e86767eb4b09a4b802d64aa785b0283cae75c195059984a0fa0bf7a83cf5c0287850c3df9dbd40ebc4030a SHA512 dc579c72be647db67aac66bf12abe1fbb6763cf9120d325bcd60f321642b05b8b25354ede1e1c1ed31bc44f486d35c027f131230538120c49aa6f0b0e00ad5b2
DIST kicad-templates-8.0.0.tar.bz2 1347354 BLAKE2B f24c131e02af8f5dbc4241c3bb812ba0e99e62cd054c2f8e39ac24091c097ee70b85e297c47183dfe598f60d5865e0db2b49101ba77577553f5eec7825cbb7a7 SHA512 636d9b1365f212a98e20d44251fd2e545c8a7bae5be25448c41976ada2bdb0ce8be0a64c9eedd89b13bcdb2c23702c8d3120ffbd89ca2fb36dce8462ad4237d5
DIST kicad-templates-8.0.1.tar.bz2 1347686 BLAKE2B 20e7e486992de838b40ec289f2e75a929067ef0db048e97789850406f4dfd3617e78882a6557765a5ba27891f177d747ab922b3c33e7c4ad3099fdafc1755731 SHA512 9cbac4593eec461ed39c2f561f852dac321f78a9bc9ae2facd5bca6e4ce3cfcf942a693be7229cbabedeb0cfbedc304b86e302fd397e8babe4fff21c9627ab23
+DIST kicad-templates-8.0.2.tar.bz2 1347684 BLAKE2B 6d95496057d2f612539f57ee15d1fa3dc9a6f5929bdcf58a7a94123304a9b0e6788c56a66ff307ce84e262260ec2fe990a860fda104899447a2d02658821b8f8 SHA512 0b7a8806bb1a1cac5c53a851c09f922524ebfeaa565fa064c90a9ba2bd7871b4d2824c2417e7afa97e11cdc8ee2ccf2c713809829180dc50edbdd009079ff55d
+DIST kicad-templates-8.0.3.tar.bz2 2783001 BLAKE2B 96c51de6dcecc371bb7b7ddef4807a95eb14d87459ec7b65f55bf79f6d4cda926f95a75bdda66b468e4f512b7f17b179f58cb307c69af9337e450f675071bf0e SHA512 267ffd98a1b18392257b7f35b938420f36da5022c40e5b86da18cb96636cbf71b52b62f2f4a70eae066c89751803f2a9fadb5f4f99940879e6da2eacac97787c
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.2.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild b/sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild
new file mode 100644
index 000000000000..56a84f2bc09a
--- /dev/null
+++ b/sci-electronics/kicad-templates/kicad-templates-8.0.3.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit cmake
+
+DESCRIPTION="Electronic Schematic and PCB design tools project templates"
+HOMEPAGE="https://github.com/kicad/kicad-templates"
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/libraries/${PN}.git"
+ inherit git-r3
+else
+ SRC_URI="https://gitlab.com/kicad/libraries/${PN}/-/archive/${PV}/${P}.tar.bz2"
+
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+fi
+
+LICENSE="CC-BY-SA-4.0"
+SLOT="0"
+
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
index db3c87def1eb..56a84f2bc09a 100644
--- a/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
+++ b/sci-electronics/kicad-templates/kicad-templates-9999.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -19,4 +19,4 @@ fi
LICENSE="CC-BY-SA-4.0"
SLOT="0"
-RDEPEND=">=sci-electronics/kicad-6.0.0"
+RDEPEND=">=sci-electronics/kicad-8.0.0"
diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest
index 0465595250b9..145c40253349 100644
--- a/sci-electronics/kicad/Manifest
+++ b/sci-electronics/kicad/Manifest
@@ -3,3 +3,5 @@ DIST kicad-7.0.11.tar.bz2 44083805 BLAKE2B d923581f49b3cf48776de56ba12ddc99e9422
DIST kicad-7.0.7.tar.bz2 44055973 BLAKE2B 4169e111b8d2086572aa2979f09a8119dbc9caf34790ec5e5f3e0972d0a2e93dab6a1c814dc35ae40d5962e7ba93bad83d5930e9dba9b9f2e52f307378519714 SHA512 82eff4a16847c657ae3102af7914539bf7404e8856ca8c94557533a3ddd7b40ff4571b351dab48349d503d413cf293880245a97238516add83e79890325f1067
DIST kicad-8.0.0.tar.bz2 72430414 BLAKE2B 52bad016249563d9668f08da2103e47c7db706e6749e23ff5c59fd423673db614a1c8681cd0e7927571fe157644e142929891647964c48f45b219e72166c3ebc SHA512 52e605c10fbac0a3c6208f92ab9e567de9074e41495db9619631765a0f20e2da23a2d45610bd367d943e8b4a2d72edf33456007aabcc1f7672b0bb1a93101ce0
DIST kicad-8.0.1.tar.bz2 72453098 BLAKE2B 67c6b69256566a176ae10affa7ac65879db71f5017dc24312eba904724b2b28caa56f6c82c697d27b34d94f9a8f5448307948e17f17c9750693b192afa3ffab9 SHA512 b6509bb4bfd1cbe87a2f409b70a8ba037a44fb039aaacd9d62868b43925382c0d3aab4c4f7e4bbd646b6ea7cf35fd7318f620a1c8c250c09251349357f2caf11
+DIST kicad-8.0.2.tar.bz2 72713030 BLAKE2B ba47a16b5c435970a45aef9988a5f6d218df043a5ce3547a191991ef63620125989e6218e97266a62c76ece604248bdf0652a10d423346fe3648c8ba240651c4 SHA512 192bcd494f00eccc430ddf9e9034f252bae177f06da81e730fb82f000a58bac9201d49ecba93c3bd17608b6df6a944beea85849050e306e11c1abfbd0028ff4a
+DIST kicad-8.0.3.tar.bz2 72936035 BLAKE2B 667d6bc2e3a3f7ccc7a22a63d22702e6886404a86bca6ca2acdd5181c7046995332bb70f1fca9d25b20d9a7e1a9545488d00fb8d2ca70fd479a989ccea6c856f SHA512 14921827120381e749d094d2a76c850d2bee394c496859df3f4550a32b678a9523199a745e199f7c874ef85b2e8279129578e666c16932fbbcb1ba98c4e62b34
diff --git a/sci-electronics/kicad/kicad-8.0.2-r1.ebuild b/sci-electronics/kicad/kicad-8.0.2-r1.ebuild
new file mode 100644
index 000000000000..83bf7ead3a08
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.2-r1.ebuild
@@ -0,0 +1,180 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..11} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == 9999 ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_prepare() {
+ filter-lto # Bug 927482
+ cmake_src_prepare
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
+ cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-8.0.3.ebuild b/sci-electronics/kicad/kicad-8.0.3.ebuild
new file mode 100644
index 000000000000..71443e470a09
--- /dev/null
+++ b/sci-electronics/kicad/kicad-8.0.3.ebuild
@@ -0,0 +1,185 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+PYTHON_COMPAT=( python3_{10..12} )
+WX_GTK_VER="3.2-gtk3"
+
+inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="https://www.kicad.org"
+
+if [[ ${PV} == *9999* ]]; then
+ EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
+ inherit git-r3
+else
+ MY_PV="${PV/_rc/-rc}"
+ MY_P="${PN}-${MY_PV}"
+ SRC_URI="https://gitlab.com/kicad/code/${PN}/-/archive/${MY_PV}/${MY_P}.tar.bz2 -> ${P}.tar.bz2"
+ S="${WORKDIR}/${PN}-${MY_PV}"
+
+ if [[ ${PV} != *_rc* ]] ; then
+ KEYWORDS="~amd64 ~arm64 ~riscv ~x86"
+ fi
+fi
+
+# BSD for bundled pybind
+LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
+SLOT="0"
+IUSE="doc examples nls openmp test"
+
+REQUIRED_USE="${PYTHON_REQUIRED_USE}"
+
+RESTRICT="!test? ( test )"
+
+# Contains bundled pybind but it's patched for wx
+# See https://gitlab.com/kicad/code/kicad/-/commit/74e4370a9b146b21883d6a2d1df46c7a10bd0424
+# Depend on opencascade:0 to get unslotted variant (so we know path to it), bug #833301
+# Depend wxGTK version needs to be limited due to switch from EGL to GLX, bug #911120
+COMMON_DEPEND="
+ app-crypt/libsecret
+ dev-db/unixODBC
+ dev-libs/boost:=[context,nls]
+ dev-libs/libgit2:=
+ media-libs/freeglut
+ media-libs/glew:0=
+ >=media-libs/glm-0.9.9.1
+ media-libs/mesa[X(+)]
+ net-misc/curl
+ >=sci-libs/opencascade-7.5.0:0=
+ >=x11-libs/cairo-1.8.8:=
+ >=x11-libs/pixman-0.30
+ >sci-electronics/ngspice-27[shared]
+ sys-libs/zlib
+ >=x11-libs/wxGTK-3.2.2.1-r3:${WX_GTK_VER}[X,opengl]
+ $(python_gen_cond_dep '
+ dev-libs/boost:=[context,nls,python,${PYTHON_USEDEP}]
+ >=dev-python/wxpython-4.2.0:*[${PYTHON_USEDEP}]
+ ')
+ ${PYTHON_DEPS}
+ nls? (
+ sys-devel/gettext
+ )
+ test? (
+ media-gfx/cairosvg
+ )
+"
+DEPEND="${COMMON_DEPEND}"
+RDEPEND="${COMMON_DEPEND}
+ sci-electronics/electronics-menu
+"
+BDEPEND=">=dev-lang/swig-4.0
+ doc? ( app-text/doxygen )"
+
+if [[ ${PV} == 9999 ]] ; then
+ # x11-misc-util/macros only required on live ebuilds
+ BDEPEND+=" >=x11-misc/util-macros-1.18"
+fi
+
+CHECKREQS_DISK_BUILD="1500M"
+
+pkg_setup() {
+ [[ ${MERGE_TYPE} != binary ]] && use openmp && tc-check-openmp
+
+ python-single-r1_pkg_setup
+ setup-wxwidgets
+ check-reqs_pkg_setup
+}
+
+src_unpack() {
+ if [[ ${PV} == 9999 ]]; then
+ git-r3_src_unpack
+ else
+ default_src_unpack
+ fi
+}
+
+src_prepare() {
+ filter-lto # Bug 927482
+ cmake_src_prepare
+}
+
+src_configure() {
+ xdg_environment_reset
+
+ local mycmakeargs=(
+ -DKICAD_DOCS="${EPREFIX}/usr/share/doc/${PN}-doc-${PV}"
+
+ -DKICAD_SCRIPTING_WXPYTHON=ON
+ -DKICAD_USE_EGL=OFF
+
+ -DKICAD_BUILD_I18N="$(usex nls)"
+ -DKICAD_I18N_UNIX_STRICT_PATH="$(usex nls)"
+
+ -DPYTHON_DEST="$(python_get_sitedir)"
+ -DPYTHON_EXECUTABLE="${PYTHON}"
+ -DPYTHON_INCLUDE_DIR="$(python_get_includedir)"
+ -DPYTHON_LIBRARY="$(python_get_library_path)"
+
+ -DKICAD_INSTALL_DEMOS="$(usex examples)"
+ -DCMAKE_SKIP_RPATH="ON"
+
+ -DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
+ -DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
+
+ -DKICAD_SPICE_QA="$(usex test)"
+ -DKICAD_BUILD_QA_TESTS="$(usex test)"
+ )
+
+ cmake_src_configure
+}
+
+src_compile() {
+ cmake_src_compile
+ if use doc; then
+ cmake_src_compile doxygen-docs
+ fi
+}
+
+src_test() {
+ # Test cannot find library in Portage's sandbox. Let's create a link so test can run.
+ mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
+ ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ export CMAKE_SKIP_TESTS=(
+ qa_pcbnew
+ qa_cli
+ )
+
+ # LD_LIBRARY_PATH is there to help it pick up the just-built libraries
+ LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
+ cmake_src_test
+}
+
+src_install() {
+ cmake_src_install
+ python_optimize
+
+ dodoc doxygen/eagle-plugin-notes.txt
+
+ if use doc ; then
+ cd doxygen || die
+ dodoc -r out/html/.
+ fi
+}
+
+pkg_postinst() {
+ optfeature "Component symbols library" sci-electronics/kicad-symbols
+ optfeature "Component footprints library" sci-electronics/kicad-footprints
+ optfeature "3D models of components " sci-electronics/kicad-packages3d
+ optfeature "Project templates" sci-electronics/kicad-templates
+ optfeature "Extended documentation" app-doc/kicad-doc
+ optfeature "Creating 3D models of components" media-gfx/wings
+
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
+
+pkg_postrm() {
+ xdg_desktop_database_update
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+}
diff --git a/sci-electronics/kicad/kicad-9999.ebuild b/sci-electronics/kicad/kicad-9999.ebuild
index b006c9bf1a8d..71443e470a09 100644
--- a/sci-electronics/kicad/kicad-9999.ebuild
+++ b/sci-electronics/kicad/kicad-9999.ebuild
@@ -3,15 +3,15 @@
EAPI=8
-PYTHON_COMPAT=( python3_{10..11} )
+PYTHON_COMPAT=( python3_{10..12} )
WX_GTK_VER="3.2-gtk3"
-inherit check-reqs cmake optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
+inherit check-reqs cmake flag-o-matic optfeature python-single-r1 toolchain-funcs wxwidgets xdg-utils
DESCRIPTION="Electronic Schematic and PCB design tools"
HOMEPAGE="https://www.kicad.org"
-if [[ ${PV} == 9999 ]]; then
+if [[ ${PV} == *9999* ]]; then
EGIT_REPO_URI="https://gitlab.com/kicad/code/kicad.git"
inherit git-r3
else
@@ -28,7 +28,7 @@ fi
# BSD for bundled pybind
LICENSE="GPL-2+ GPL-3+ Boost-1.0 BSD"
SLOT="0"
-IUSE="doc examples nls openmp telemetry test"
+IUSE="doc examples nls openmp test"
REQUIRED_USE="${PYTHON_REQUIRED_USE}"
@@ -96,6 +96,11 @@ src_unpack() {
fi
}
+src_prepare() {
+ filter-lto # Bug 927482
+ cmake_src_prepare
+}
+
src_configure() {
xdg_environment_reset
@@ -119,8 +124,6 @@ src_configure() {
-DOCC_INCLUDE_DIR="${CASROOT}"/include/opencascade
-DOCC_LIBRARY_DIR="${CASROOT}"/$(get_libdir)/opencascade
- -DKICAD_USE_SENTRY="$(usex telemetry)"
-
-DKICAD_SPICE_QA="$(usex test)"
-DKICAD_BUILD_QA_TESTS="$(usex test)"
)
@@ -138,10 +141,16 @@ src_compile() {
src_test() {
# Test cannot find library in Portage's sandbox. Let's create a link so test can run.
mkdir -p "${BUILD_DIR}/qa/eeschema/" || die
- dosym "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+ ln -s "${BUILD_DIR}/eeschema/_eeschema.kiface" "${BUILD_DIR}/qa/eeschema/_eeschema.kiface" || die
+
+ export CMAKE_SKIP_TESTS=(
+ qa_pcbnew
+ qa_cli
+ )
# LD_LIBRARY_PATH is there to help it pick up the just-built libraries
- LD_LIBRARY_PATH="${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" cmake_src_test
+ LD_LIBRARY_PATH="${BUILD_DIR}/common:${BUILD_DIR}/common/gal:${BUILD_DIR}/3d-viewer/3d_cache/sg:${LD_LIBRARY_PATH}" \
+ cmake_src_test
}
src_install() {
diff --git a/sci-electronics/ngspice/ngspice-36.ebuild b/sci-electronics/ngspice/ngspice-36.ebuild
index 0a20c0490177..6a99a86b05e4 100644
--- a/sci-electronics/ngspice/ngspice-36.ebuild
+++ b/sci-electronics/ngspice/ngspice-36.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -6,8 +6,8 @@ EAPI=7
inherit autotools multibuild toolchain-funcs virtualx
DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
-SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz
- doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )"
+SRC_URI="https://downloads.sourceforge.net/ngspice/${P}.tar.gz
+ doc? ( https://downloads.sourceforge.net/ngspice/${P}-manual.pdf )"
HOMEPAGE="http://ngspice.sourceforge.net"
LICENSE="BSD GPL-2"
diff --git a/sci-electronics/ngspice/ngspice-40.ebuild b/sci-electronics/ngspice/ngspice-40.ebuild
index edc0fffedaa4..c06bd1110fc8 100644
--- a/sci-electronics/ngspice/ngspice-40.ebuild
+++ b/sci-electronics/ngspice/ngspice-40.ebuild
@@ -8,8 +8,8 @@ inherit autotools flag-o-matic multibuild toolchain-funcs virtualx
DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
HOMEPAGE="http://ngspice.sourceforge.net"
SRC_URI="
- mirror://sourceforge/ngspice/${P}.tar.gz
- doc? ( mirror://sourceforge/ngspice/${P}-manual.pdf )
+ https://downloads.sourceforge.net/ngspice/${P}.tar.gz
+ doc? ( https://downloads.sourceforge.net/ngspice/${P}-manual.pdf )
"
LICENSE="BSD GPL-2 MPL-2.0"
diff --git a/sci-electronics/nvc/Manifest b/sci-electronics/nvc/Manifest
index 3c74b18cd0dd..e6054d7b4ec0 100644
--- a/sci-electronics/nvc/Manifest
+++ b/sci-electronics/nvc/Manifest
@@ -1 +1,3 @@
-DIST nvc-1.12.0.tar.gz 1929184 BLAKE2B a344c7f483aea26fb665b94b021e6c402b24c0d653604c32260833c9b2975fb6d461241e151b52056508a394f16f7d12ba4a29139e820e5028235d3ee95f3a03 SHA512 bd33fe13105e323cd9689ca1ea865f289d7833e519e0abca1c50615532b4909abecb07c29abb27afedcd3c288801e29d61a1a85cd58d449c67f5d5638437414d
+DIST nvc-1.12.1.tar.gz 1931464 BLAKE2B 7081387b81afd20d05ad69d8e29c6549e286d4b5e90ca7e044ddcc8df80d1db7a3a398b11303c7b2e90cf8863e3b7a910530b353c6664d03c2be0e18ee40379f SHA512 33df2d4be7938be381f763f33b95b09a49f5d10d3b240f280379e83d367c05f886a867fdd945acc23debb1652b52a76ca2ec0c338d87b146a02ed2c858a8960b
+DIST nvc-1.12.2.tar.gz 1932149 BLAKE2B 6a71c9bb5ea5254c6b1d2283e4f3e14f13c8399204810cbbc05fae25b50cd5307cb3cdbf101c129dbc1863a135e493fafd8672903665eb4f5f3c9a95c6fcaf82 SHA512 d386cd32533d60c0ab795955a376a4c0de4b35d2ce7fdbda9e4f4c79605fb8e0422ddee574204f1f8a951caf0d87e4446ebb28ff5b3352644307c0c13bbe8765
+DIST nvc-1.13.0.tar.gz 1961847 BLAKE2B 5cb2e99baeb0c190ccd83535f7a5114327410b80339448eb50188147f2160a0320edaa612579c77327d7b67d51c7e312ebed6ac07bfe3ab636b3322baf050f53 SHA512 c93fa48dda45de734dafa996eda0b924f11c497feeee5e19b49be22594dcd9324abfe2e1d31c706f2d058950cd2525af46a3aa5ac61506628c3dc7af73685a39
diff --git a/sci-electronics/nvc/nvc-1.12.0.ebuild b/sci-electronics/nvc/nvc-1.12.1.ebuild
index dd4ecc425726..dd4ecc425726 100644
--- a/sci-electronics/nvc/nvc-1.12.0.ebuild
+++ b/sci-electronics/nvc/nvc-1.12.1.ebuild
diff --git a/sci-electronics/nvc/nvc-1.12.2.ebuild b/sci-electronics/nvc/nvc-1.12.2.ebuild
new file mode 100644
index 000000000000..dd4ecc425726
--- /dev/null
+++ b/sci-electronics/nvc/nvc-1.12.2.ebuild
@@ -0,0 +1,114 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+LLVM_MAX_SLOT=16
+
+inherit autotools bash-completion-r1 llvm
+
+DESCRIPTION="NVC is a VHDL compiler and simulator"
+HOMEPAGE="https://www.nickg.me.uk/nvc/
+ https://github.com/nickg/nvc/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/nickg/nvc.git"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-${PV}"
+else
+ SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz
+ -> ${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-r${PV}"
+fi
+
+NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
+S="${NVC_BUILDDIR}"
+
+LICENSE="GPL-3+"
+SLOT="0"
+IUSE="debug llvm"
+RESTRICT="test" # Some tests fail.
+
+RDEPEND="
+ app-arch/bzip2:=
+ app-arch/zstd:=
+ dev-libs/capstone:=
+ dev-libs/elfutils
+ dev-libs/icu:=
+ dev-libs/libffi:=
+ dev-libs/libxml2:=
+ sys-libs/ncurses:=
+ sys-libs/zlib:=
+ llvm? (
+ <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-libs/check
+ sys-devel/bison
+ sys-devel/flex
+"
+
+PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
+
+# Special libraries for NVC.
+QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
+
+pkg_setup() {
+ use llvm && llvm_pkg_setup
+}
+
+src_unpack() {
+ default
+
+ mkdir -p "${S}" || die
+}
+
+src_prepare() {
+ pushd "${NVC_SOURCEDIR}" >/dev/null || die
+
+ default
+ eautoreconf
+
+ popd >/dev/null || die
+}
+
+src_configure() {
+ # Needs "bison" and "flex" exactly.
+ unset LEX
+ unset YACC
+
+ local ECONF_SOURCE="${NVC_SOURCEDIR}"
+ local -a myconf=(
+ --enable-verilog
+ --enable-vital
+ --with-bash-completion="$(get_bashcompdir)"
+ $(use_enable debug)
+ $(use_enable llvm)
+ )
+ econf "${myconf[@]}"
+
+ export V=1 # Verbose compilation and install.
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_test() {
+ PATH="${S}/bin:${PATH}" emake check-TESTS
+}
+
+src_install() {
+ default
+
+ mv "${D}/$(get_bashcompdir)"/nvc{.bash,} || die
+ dostrip -x "/usr/$(get_libdir)/nvc"
+}
diff --git a/sci-electronics/nvc/nvc-1.13.0.ebuild b/sci-electronics/nvc/nvc-1.13.0.ebuild
new file mode 100644
index 000000000000..dd4ecc425726
--- /dev/null
+++ b/sci-electronics/nvc/nvc-1.13.0.ebuild
@@ -0,0 +1,114 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+LLVM_MAX_SLOT=16
+
+inherit autotools bash-completion-r1 llvm
+
+DESCRIPTION="NVC is a VHDL compiler and simulator"
+HOMEPAGE="https://www.nickg.me.uk/nvc/
+ https://github.com/nickg/nvc/"
+
+if [[ "${PV}" == *9999* ]] ; then
+ inherit git-r3
+
+ EGIT_REPO_URI="https://github.com/nickg/nvc.git"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-${PV}"
+else
+ SRC_URI="https://github.com/nickg/nvc/archive/r${PV}.tar.gz
+ -> ${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+
+ NVC_SOURCEDIR="${WORKDIR}/${PN}-r${PV}"
+fi
+
+NVC_BUILDDIR="${NVC_SOURCEDIR}_BuildDir"
+S="${NVC_BUILDDIR}"
+
+LICENSE="GPL-3+"
+SLOT="0"
+IUSE="debug llvm"
+RESTRICT="test" # Some tests fail.
+
+RDEPEND="
+ app-arch/bzip2:=
+ app-arch/zstd:=
+ dev-libs/capstone:=
+ dev-libs/elfutils
+ dev-libs/icu:=
+ dev-libs/libffi:=
+ dev-libs/libxml2:=
+ sys-libs/ncurses:=
+ sys-libs/zlib:=
+ llvm? (
+ <sys-devel/llvm-$((${LLVM_MAX_SLOT} + 1)):=
+ )
+"
+DEPEND="
+ ${RDEPEND}
+"
+BDEPEND="
+ dev-libs/check
+ sys-devel/bison
+ sys-devel/flex
+"
+
+PATCHES=( "${FILESDIR}/nvc-1.9.2-jit-code-capstone.patch" )
+
+# Special libraries for NVC.
+QA_FLAGS_IGNORED="usr/lib[0-9]*/nvc/preload[0-9]*.so"
+
+pkg_setup() {
+ use llvm && llvm_pkg_setup
+}
+
+src_unpack() {
+ default
+
+ mkdir -p "${S}" || die
+}
+
+src_prepare() {
+ pushd "${NVC_SOURCEDIR}" >/dev/null || die
+
+ default
+ eautoreconf
+
+ popd >/dev/null || die
+}
+
+src_configure() {
+ # Needs "bison" and "flex" exactly.
+ unset LEX
+ unset YACC
+
+ local ECONF_SOURCE="${NVC_SOURCEDIR}"
+ local -a myconf=(
+ --enable-verilog
+ --enable-vital
+ --with-bash-completion="$(get_bashcompdir)"
+ $(use_enable debug)
+ $(use_enable llvm)
+ )
+ econf "${myconf[@]}"
+
+ export V=1 # Verbose compilation and install.
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_test() {
+ PATH="${S}/bin:${PATH}" emake check-TESTS
+}
+
+src_install() {
+ default
+
+ mv "${D}/$(get_bashcompdir)"/nvc{.bash,} || die
+ dostrip -x "/usr/$(get_libdir)/nvc"
+}
diff --git a/sci-electronics/pcb/pcb-4.2.2.ebuild b/sci-electronics/pcb/pcb-4.2.2.ebuild
index 8c88c3365640..ae701d9c3c28 100644
--- a/sci-electronics/pcb/pcb-4.2.2.ebuild
+++ b/sci-electronics/pcb/pcb-4.2.2.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=7
@@ -7,7 +7,7 @@ inherit autotools toolchain-funcs xdg
DESCRIPTION="GPL Electronic Design Automation: Printed Circuit Board editor"
HOMEPAGE="http://pcb.geda-project.org/"
-SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/pcb/pcb/${P}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
diff --git a/sci-electronics/pcb/pcb-4.3.0.ebuild b/sci-electronics/pcb/pcb-4.3.0.ebuild
index 80f27c1fb2ba..d4b4fe2af37a 100644
--- a/sci-electronics/pcb/pcb-4.3.0.ebuild
+++ b/sci-electronics/pcb/pcb-4.3.0.ebuild
@@ -1,4 +1,4 @@
-# Copyright 1999-2023 Gentoo Authors
+# Copyright 1999-2024 Gentoo Authors
# Distributed under the terms of the GNU General Public License v2
EAPI=8
@@ -7,7 +7,7 @@ inherit autotools toolchain-funcs virtualx xdg
DESCRIPTION="GPL Electronic Design Automation: Printed Circuit Board editor"
HOMEPAGE="http://pcb.geda-project.org/"
-SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/pcb/pcb/${P}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"
diff --git a/sci-electronics/qelectrotech/metadata.xml b/sci-electronics/qelectrotech/metadata.xml
index 610d2d8ea120..115e9d64a669 100644
--- a/sci-electronics/qelectrotech/metadata.xml
+++ b/sci-electronics/qelectrotech/metadata.xml
@@ -1,8 +1,5 @@
<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
<pkgmetadata>
- <maintainer type="project">
- <email>qt@gentoo.org</email>
- <name>Gentoo Qt Project</name>
- </maintainer>
+ <!-- maintainer-needed -->
</pkgmetadata>
diff --git a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
index 2776c5129585..9f04e6f2ee33 100644
--- a/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
+++ b/sci-electronics/qelectrotech/qelectrotech-0.80.ebuild
@@ -14,7 +14,7 @@ if [[ ${PV} == *9999* ]]; then
else
MY_P=qet-${PV/%0/.0}
SRC_URI="https://git.tuxfamily.org/qet/qet.git/snapshot/${MY_P}.tar.gz -> ${P}.tar.gz"
- KEYWORDS="amd64 ~x86"
+ KEYWORDS="amd64 ~arm64 ~x86"
S="${WORKDIR}"/${MY_P}
fi
diff --git a/sci-electronics/vbs/Manifest b/sci-electronics/vbs/Manifest
deleted file mode 100644
index 0ceb3941e1d8..000000000000
--- a/sci-electronics/vbs/Manifest
+++ /dev/null
@@ -1 +0,0 @@
-DIST vbs-1.4.0.tar.gz 275974 BLAKE2B a2a3b9654edefb52e22be166650b17959a72e2b1b6c1bb25708b52c33b3f33476f10b542b553959ae2310a7adcb328d5fa129ac34c6c6b6e62ca0a8880b3ff68 SHA512 78320f650d8f9f558143d0c13fd47697a738f540fa5f6e8718e6e9fdef67f794189b18c214be68d19a9a745527b57abb54e94fadfc8e83289a95e1ae48e41384
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch b/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch
deleted file mode 100644
index 796aba52bb76..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-const_cast.patch
+++ /dev/null
@@ -1,11 +0,0 @@
---- a/common/scp_tab.cc
-+++ b/common/scp_tab.cc
-@@ -148,7 +148,7 @@
- nm.replace(0,top.length()+1,"");
- const char * n = nm.c_str();
- size_t full, sub,diff;
-- char * last_dot = strrchr(n,'.');
-+ char * last_dot = const_cast<char*>(strrchr(n,'.'));
- char * ret = 0;
- if (last_dot != 0)
- {
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
deleted file mode 100644
index b017e9e05dcf..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
+++ /dev/null
@@ -1,105 +0,0 @@
---- a/common/bvector.h
-+++ b/common/bvector.h
-@@ -90,9 +90,9 @@
- size_type _size; // Size of this sub-bit vector.
-
- // Only bit_vector can create a sub_bit_vector object.
-+ public:
- sub_bit_vector(bit_vector &, const position_type, const position_type);
- sub_bit_vector(const sub_bit_vector &);
-- public:
- sub_bit_vector &operator=(const sub_bit_vector &);
- sub_bit_vector &operator=(const const_sub_bit_vector &);
- sub_bit_vector &operator=(const bit_vector &);
-@@ -116,8 +116,8 @@
-
- // Only bit_vector can create a sub_bit_vector object.
- const_sub_bit_vector(const bit_vector &, const position_type, const position_type);
-- const_sub_bit_vector(const const_sub_bit_vector &);
- public:
-+ const_sub_bit_vector(const const_sub_bit_vector &);
- size_type size() const
- { return _size; }
- ostream_type &info(ostream_type &) const;
---- a/common/logic.h
-+++ b/common/logic.h
-@@ -44,6 +44,10 @@
- { return (_state == HI) ? true : false; }
- operator int() const
- { return _state; }
-+
-+ operator long int() const
-+ { return _state; }
-+
- operator char() const
- {
- switch (_state)
---- a/common/st_net.h
-+++ b/common/st_net.h
-@@ -133,4 +133,7 @@
- const st_net &_net;
- };
-
-+void entry_iovars(st_net::io_list &, st_net::arg_list &);
-+void exit_iovars(st_net::io_list &, st_net::arg_list &);
-+
- #endif // _ST_NET_H
---- a/expr/erdwr.cc
-+++ b/expr/erdwr.cc
-@@ -25,8 +25,9 @@
- expr_base *
- read_expr::operator()() const
- {
-- VBSOBJ_EXPR_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_EXPR_TYPE type = VBSOBJ_EXPR_TYPE(type_num);
- long ln;
- _in >> ln;
- expr_base *expr = 0;
---- a/Makefile.in
-+++ b/Makefile.in
-@@ -329,13 +329,15 @@
- rm -f *.o
- rm -f *.a
- rm -f *.so
-+ rm -f vbs
-
- clean-repo:
- if test -d ptrepository; then rm -rf ptrepository; fi
- rm -f *.rpo
-
- install:
-- $(INSTALL) vbs $(bindir)
-+ $(INSTALL) -d $(DESTDIR)$(bindir)
-+ $(INSTALL) vbs $(DESTDIR)$(bindir)
-
- tarball:
- @echo "===== Tar ball ======"
---- a/misc/mrdwr.cc
-+++ b/misc/mrdwr.cc
-@@ -21,8 +21,9 @@
- module *
- read_module::operator()() const
- {
-- VBSOBJ_MISC_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
- if (type != VBSOBJ_MISC_MODULE)
- {
- vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
-@@ -129,8 +130,9 @@
- port *
- read_port::operator()() const
- {
-- VBSOBJ_MISC_TYPE type;
-- _in >> (int &) type;
-+ int type_num = 0;
-+ _in >> type_num;
-+ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
- if (type != VBSOBJ_MISC_PORT)
- {
- vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
deleted file mode 100644
index 1bb55d824e7a..000000000000
--- a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
+++ /dev/null
@@ -1,30 +0,0 @@
---- a/common/dumpstrm.cc
-+++ b/common/dumpstrm.cc
-@@ -13,6 +13,7 @@
-
- #include <cstdio> // sprintf
- #include <iostream>
-+#include <cstdlib>
- #include "dumpstrm.h"
-
- using std::cerr;
---- a/common/logic.h
-+++ b/common/logic.h
-@@ -18,6 +18,7 @@
-
- #ifndef _LOGIC_H
- #define _LOGIC_H
-+#include <cstdlib>
-
- class logic
- {
---- a/common/bvector.h
-+++ b/common/bvector.h
-@@ -30,6 +30,7 @@
- #include <string>
- #include <utility>
- #include <iostream>
-+#include <cstring>
- #include "common/logic.h"
-
- class bit_vector
diff --git a/sci-electronics/vbs/metadata.xml b/sci-electronics/vbs/metadata.xml
deleted file mode 100644
index 400878cd0df2..000000000000
--- a/sci-electronics/vbs/metadata.xml
+++ /dev/null
@@ -1,13 +0,0 @@
-<?xml version="1.0" encoding="UTF-8"?>
-<!DOCTYPE pkgmetadata SYSTEM "https://www.gentoo.org/dtd/metadata.dtd">
-<pkgmetadata>
- <maintainer type="project">
- <email>sci-electronics@gentoo.org</email>
- <name>Gentoo Electronics Project</name>
- </maintainer>
- <longdescription>
- This program is a simple implementation of a Verilog simulator. VBS tries to
- implement all of the Verilog behavioral constructs that are synthesizable, but
- still allow complex test vectors for simulation.
- </longdescription>
-</pkgmetadata>
diff --git a/sci-electronics/vbs/vbs-1.4.0.ebuild b/sci-electronics/vbs/vbs-1.4.0.ebuild
deleted file mode 100644
index 43f654dfbc5e..000000000000
--- a/sci-electronics/vbs/vbs-1.4.0.ebuild
+++ /dev/null
@@ -1,40 +0,0 @@
-# Copyright 1999-2024 Gentoo Authors
-# Distributed under the terms of the GNU General Public License v2
-
-EAPI=6
-
-DESCRIPTION="vbs - the Verilog Behavioral Simulator"
-HOMEPAGE="http://www.geda.seul.org/tools/vbs/index.html"
-SRC_URI="http://www.geda.seul.org/dist/${P}.tar.gz"
-
-LICENSE="GPL-2"
-SLOT="0"
-KEYWORDS="~amd64 ~ppc ~x86"
-IUSE=""
-
-DEPEND="
- app-alternatives/lex
- app-alternatives/yacc"
-RDEPEND=""
-
-S="${WORKDIR}/${P}/src"
-PATCHES=(
- "${FILESDIR}"/${P}-gcc-4.1.patch
- "${FILESDIR}"/${P}-gcc-4.3.patch
- "${FILESDIR}"/${P}-const_cast.patch
-)
-
-src_compile() {
- emake -j1 vbs
-}
-
-src_install() {
- dobin vbs
- cd .. || die
-
- einstalldocs
- dodoc CHANGELOG* CONTRIBUTORS vbs.txt
-
- insinto /usr/share/${PF}/examples
- doins -r EXAMPLES/.
-}
diff --git a/sci-electronics/xnec2c/Manifest b/sci-electronics/xnec2c/Manifest
index c696df8e4e77..27940f492e67 100644
--- a/sci-electronics/xnec2c/Manifest
+++ b/sci-electronics/xnec2c/Manifest
@@ -1,2 +1,3 @@
DIST xnec2c-v4.4.12.tar.gz 1496988 BLAKE2B dbcea1e24382b9f2bb6e881007b44dcf99b9caa7f970ecbb1363e1a0e232d9d1bda6fe68f90622d81cdc69b3b9ff6019dd4b123d6a79f8c6bd088dbd804354b8 SHA512 0d1711260d7f69633d9e6bb559f3b56c2a25414f75ed913c643c0aaad5e4062834ce6c7c7816741f65436173b331e6f8f957c4a624a77214414865bac94ab7e8
DIST xnec2c-v4.4.14.tar.gz 1509461 BLAKE2B 26aca7e4e328dff4e418d16499312bd3137fc9c46b382e928c1916fa111cfb7da2e891274ac414691b0e40520146a7c9da4781fa2864f1abcade247c2732fa89 SHA512 8fa407bf47a1cd600ddffb51b89b6f8ac869a6c8c5d8d1420a494d14c6d8c03e8a6ff845dcff3ac64aca0373d32980a0e1f4f615806df178cdef2eae2190212f
+DIST xnec2c-v4.4.16.tar.gz 1509642 BLAKE2B d816ccbc8535b8a045f14cf6dd0e8b586a076fa2600fd3c0d71fd471efb6e63e739c20b3fa8427934e9e2cf71a9c12b701cbe44b5c4ffb96c78eeaa63fc5cbc5 SHA512 e7ccb0fe844c09ef36114835546f53ff92e053cf05fe64232efb3d7958b0860f86ef930296eb419dbe9b2ec2e9e0697de7e4fef3e2ec139566e585cf9b2cdba9
diff --git a/sci-electronics/xnec2c/xnec2c-4.4.16.ebuild b/sci-electronics/xnec2c/xnec2c-4.4.16.ebuild
new file mode 100644
index 000000000000..e612d0a3dadf
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-4.4.16.ebuild
@@ -0,0 +1,56 @@
+# Copyright 1999-2024 Gentoo Authors
+# Distributed under the terms of the GNU General Public License v2
+
+EAPI=8
+
+inherit autotools xdg-utils
+
+MY_P=${PN}-v${PV}
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="https://www.xnec2c.org"
+SRC_URI="https://www.xnec2c.org/releases/${MY_P}.tar.gz"
+
+S="${WORKDIR}/${MY_P}"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:3"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ eapply_user
+ # bug 896176
+ sed -i -e "s/-D_FORTIFY_SOURCE=2//g" configure.ac || die
+ eautoreconf
+}
+
+src_install() {
+ default
+ docompress -x /usr/share/man
+
+ rm -R "${D}/usr/share/doc/${P}" || die
+ dodoc AUTHORS README.md doc/*.txt
+ use doc && dodoc -r doc/*.html doc/images
+
+ if ! use examples ; then
+ rm -R "${D}/usr/share/${PN}/examples" || die
+ fi
+}
+
+pkg_postinst() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
+
+pkg_postrm() {
+ xdg_mimeinfo_database_update
+ xdg_icon_cache_update
+ xdg_desktop_database_update
+}
diff --git a/sci-electronics/xoscope/xoscope-2.3.ebuild b/sci-electronics/xoscope/xoscope-2.3.ebuild
index fccde12d9709..091317b23add 100644
--- a/sci-electronics/xoscope/xoscope-2.3.ebuild
+++ b/sci-electronics/xoscope/xoscope-2.3.ebuild
@@ -7,7 +7,7 @@ inherit autotools flag-o-matic linux-info
DESCRIPTION="Soundcard Oscilloscope for X"
HOMEPAGE="http://xoscope.sourceforge.net"
-SRC_URI="mirror://sourceforge/project/${PN}/${PN}/${PV}/${P}.tar.gz"
+SRC_URI="https://downloads.sourceforge.net/project/${PN}/${PN}/${PV}/${P}.tar.gz"
LICENSE="GPL-2"
SLOT="0"