summaryrefslogtreecommitdiff
blob: bddbce93725f1d7aba9539d17e30135c30cd2d4f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
Index: image_cb_detector/src/rgbd_cb_detector_action.cpp
===================================================================
--- image_cb_detector.orig/src/rgbd_cb_detector_action.cpp
+++ image_cb_detector/src/rgbd_cb_detector_action.cpp
@@ -143,7 +143,7 @@ public:
       for(size_t i = 0; i< features.image_points.size(); i++){
         geometry_msgs::Point pixel = features.image_points[i];
         float depth = *(depth_ptr+width*(unsigned int)pixel.y+(unsigned int)pixel.x);
-        if ( isnan(depth) )
+        if ( std::isnan(depth) )
         {
           continue;
         }