summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorRobin H. Johnson <robbat2@gentoo.org>2015-08-08 13:49:04 -0700
committerRobin H. Johnson <robbat2@gentoo.org>2015-08-08 17:38:18 -0700
commit56bd759df1d0c750a065b8c845e93d5dfa6b549d (patch)
tree3f91093cdb475e565ae857f1c5a7fd339e2d781e /sci-electronics
downloadgentoo-56bd759df1d0c750a065b8c845e93d5dfa6b549d.tar.gz
gentoo-56bd759df1d0c750a065b8c845e93d5dfa6b549d.tar.bz2
gentoo-56bd759df1d0c750a065b8c845e93d5dfa6b549d.zip
proj/gentoo: Initial commit
This commit represents a new era for Gentoo: Storing the gentoo-x86 tree in Git, as converted from CVS. This commit is the start of the NEW history. Any historical data is intended to be grafted onto this point. Creation process: 1. Take final CVS checkout snapshot 2. Remove ALL ChangeLog* files 3. Transform all Manifests to thin 4. Remove empty Manifests 5. Convert all stale $Header$/$Id$ CVS keywords to non-expanded Git $Id$ 5.1. Do not touch files with -kb/-ko keyword flags. Signed-off-by: Robin H. Johnson <robbat2@gentoo.org> X-Thanks: Alec Warner <antarus@gentoo.org> - did the GSoC 2006 migration tests X-Thanks: Robin H. Johnson <robbat2@gentoo.org> - infra guy, herding this project X-Thanks: Nguyen Thai Ngoc Duy <pclouds@gentoo.org> - Former Gentoo developer, wrote Git features for the migration X-Thanks: Brian Harring <ferringb@gentoo.org> - wrote much python to improve cvs2svn X-Thanks: Rich Freeman <rich0@gentoo.org> - validation scripts X-Thanks: Patrick Lauer <patrick@gentoo.org> - Gentoo dev, running new 2014 work in migration X-Thanks: Michał Górny <mgorny@gentoo.org> - scripts, QA, nagging X-Thanks: All of other Gentoo developers - many ideas and lots of paint on the bikeshed
Diffstat (limited to 'sci-electronics')
-rw-r--r--sci-electronics/Stage/Manifest1
-rw-r--r--sci-electronics/Stage/Stage-4.1.1.ebuild38
-rw-r--r--sci-electronics/Stage/Stage-9999.ebuild38
-rw-r--r--sci-electronics/Stage/metadata.xml11
-rw-r--r--sci-electronics/alliance/Manifest1
-rw-r--r--sci-electronics/alliance/alliance-5.0.20110203.ebuild64
-rw-r--r--sci-electronics/alliance/files/alliance-5.0-gcc43.patch24
-rw-r--r--sci-electronics/alliance/files/alliance-5.0.20070718-overun.patch12
-rw-r--r--sci-electronics/alliance/files/alliance-5.0.20070718-test.patch11
-rw-r--r--sci-electronics/alliance/files/alliance-5.0.20110203-impl-dec.patch119
-rw-r--r--sci-electronics/alliance/metadata.xml15
-rw-r--r--sci-electronics/balsa/Manifest5
-rw-r--r--sci-electronics/balsa/balsa-4.0-r1.ebuild155
-rw-r--r--sci-electronics/balsa/files/4.0-datadir.patch73
-rw-r--r--sci-electronics/balsa/files/4.0-ldflags.patch11
-rw-r--r--sci-electronics/balsa/files/4.0-libdir.patch36
-rw-r--r--sci-electronics/balsa/metadata.xml13
-rw-r--r--sci-electronics/cirkuit/Manifest1
-rw-r--r--sci-electronics/cirkuit/cirkuit-0.4.3-r1.ebuild39
-rw-r--r--sci-electronics/cirkuit/metadata.xml5
-rw-r--r--sci-electronics/drawtiming/Manifest1
-rw-r--r--sci-electronics/drawtiming/drawtiming-0.7.1-r1.ebuild33
-rw-r--r--sci-electronics/drawtiming/drawtiming-0.7.1.ebuild33
-rw-r--r--sci-electronics/drawtiming/files/drawtiming-0.7.1-gcc43.patch10
-rw-r--r--sci-electronics/drawtiming/files/drawtiming-0.7.1-ldflags.patch36
-rw-r--r--sci-electronics/drawtiming/metadata.xml16
-rw-r--r--sci-electronics/eagle/Manifest5
-rw-r--r--sci-electronics/eagle/eagle-5.11.0.ebuild95
-rw-r--r--sci-electronics/eagle/eagle-5.12.0.ebuild99
-rw-r--r--sci-electronics/eagle/eagle-6.6.0.ebuild101
-rw-r--r--sci-electronics/eagle/eagle-7.3.0.ebuild130
-rw-r--r--sci-electronics/eagle/files/eagle_wrapper_script8
-rw-r--r--sci-electronics/eagle/metadata.xml17
-rw-r--r--sci-electronics/electric/Manifest6
-rw-r--r--sci-electronics/electric/electric-8.09.ebuild27
-rw-r--r--sci-electronics/electric/electric-8.10.ebuild27
-rw-r--r--sci-electronics/electric/electric-8.11.ebuild27
-rw-r--r--sci-electronics/electric/electric-9.00.ebuild27
-rw-r--r--sci-electronics/electric/electric-9.02.ebuild27
-rw-r--r--sci-electronics/electric/electric-9.03.ebuild27
-rw-r--r--sci-electronics/electric/files/7.00-fix-sandbox.patch11
-rw-r--r--sci-electronics/electric/files/7.00-qt.patch35
-rw-r--r--sci-electronics/electric/files/electric-7.00-gcc4.1-gentoo.patch11
-rw-r--r--sci-electronics/electric/files/electric-7.00-qt-gentoo.patch48
-rw-r--r--sci-electronics/electric/metadata.xml14
-rw-r--r--sci-electronics/electronics-menu/Manifest1
-rw-r--r--sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild36
-rw-r--r--sci-electronics/electronics-menu/electronics-menu-1.0.ebuild36
-rw-r--r--sci-electronics/electronics-menu/metadata.xml10
-rw-r--r--sci-electronics/espresso-ab/Manifest1
-rw-r--r--sci-electronics/espresso-ab/espresso-ab-1.0-r1.ebuild16
-rw-r--r--sci-electronics/espresso-ab/metadata.xml8
-rw-r--r--sci-electronics/freehdl/Manifest1
-rw-r--r--sci-electronics/freehdl/freehdl-0.0.7.ebuild23
-rw-r--r--sci-electronics/freehdl/metadata.xml18
-rw-r--r--sci-electronics/fritzing/Manifest2
-rw-r--r--sci-electronics/fritzing/fritzing-0.8.7b.ebuild57
-rw-r--r--sci-electronics/fritzing/fritzing-0.9.2b.ebuild61
-rw-r--r--sci-electronics/fritzing/metadata.xml16
-rw-r--r--sci-electronics/gazebo/Manifest1
-rw-r--r--sci-electronics/gazebo/files/bullet_283.patch41
-rw-r--r--sci-electronics/gazebo/gazebo-5.1.0.ebuild66
-rw-r--r--sci-electronics/gazebo/metadata.xml8
-rw-r--r--sci-electronics/geda-suite/geda-suite-20110427.ebuild23
-rw-r--r--sci-electronics/geda-suite/metadata.xml5
-rw-r--r--sci-electronics/geda-xgsch2pcb/Manifest1
-rw-r--r--sci-electronics/geda-xgsch2pcb/files/0.1.3-python.patch31
-rw-r--r--sci-electronics/geda-xgsch2pcb/geda-xgsch2pcb-0.1.3-r2.ebuild78
-rw-r--r--sci-electronics/geda-xgsch2pcb/metadata.xml15
-rw-r--r--sci-electronics/geda/Manifest3
-rw-r--r--sci-electronics/geda/geda-1.8.1.ebuild81
-rw-r--r--sci-electronics/geda/geda-1.8.2.ebuild82
-rw-r--r--sci-electronics/geda/geda-1.9.1.ebuild84
-rw-r--r--sci-electronics/geda/metadata.xml22
-rw-r--r--sci-electronics/gerbv/Manifest1
-rw-r--r--sci-electronics/gerbv/gerbv-2.6.0.ebuild55
-rw-r--r--sci-electronics/gerbv/metadata.xml18
-rw-r--r--sci-electronics/ghdl/Manifest2
-rw-r--r--sci-electronics/ghdl/files/ghdl-0.29-gcc.patch132
-rw-r--r--sci-electronics/ghdl/ghdl-0.29.ebuild78
-rw-r--r--sci-electronics/ghdl/metadata.xml10
-rw-r--r--sci-electronics/gnetman/Manifest1
-rw-r--r--sci-electronics/gnetman/files/gnetman-0.0.1_pre20110124-tcl86.patch20
-rw-r--r--sci-electronics/gnetman/gnetman-0.0.1_pre20110124.ebuild50
-rw-r--r--sci-electronics/gnetman/metadata.xml14
-rw-r--r--sci-electronics/gnucap/Manifest5
-rw-r--r--sci-electronics/gnucap/files/gnucap-0.35-gcc43.patch22
-rw-r--r--sci-electronics/gnucap/gnucap-0.35.20091207.ebuild81
-rw-r--r--sci-electronics/gnucap/metadata.xml13
-rw-r--r--sci-electronics/gplcver/Manifest2
-rw-r--r--sci-electronics/gplcver/gplcver-2.11a.ebuild59
-rw-r--r--sci-electronics/gplcver/gplcver-2.12a.ebuild65
-rw-r--r--sci-electronics/gplcver/metadata.xml12
-rw-r--r--sci-electronics/gresistor/Manifest1
-rw-r--r--sci-electronics/gresistor/gresistor-0.0.1-r1.ebuild43
-rw-r--r--sci-electronics/gresistor/gresistor-0.0.1-r2.ebuild41
-rw-r--r--sci-electronics/gresistor/gresistor-0.0.1.ebuild38
-rw-r--r--sci-electronics/gresistor/metadata.xml11
-rw-r--r--sci-electronics/gsmc/Manifest1
-rw-r--r--sci-electronics/gsmc/files/gsmc-1.1-autotools.patch48
-rw-r--r--sci-electronics/gsmc/gsmc-1.1-r1.ebuild35
-rw-r--r--sci-electronics/gsmc/metadata.xml5
-rw-r--r--sci-electronics/gspeakers/Manifest1
-rw-r--r--sci-electronics/gspeakers/files/gspeakers-0.11-gcc43.patch50
-rw-r--r--sci-electronics/gspeakers/files/gspeakers-0.11-glib-single-include.patch117
-rw-r--r--sci-electronics/gspeakers/gspeakers-0.11-r1.ebuild34
-rw-r--r--sci-electronics/gspeakers/metadata.xml11
-rw-r--r--sci-electronics/gspiceui/Manifest3
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-0.9.99-examples.patch52
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-0.9.99-flags.patch27
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.0.0-flags.patch28
-rw-r--r--sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch26
-rw-r--r--sci-electronics/gspiceui/gspiceui-0.9.99-r1.ebuild69
-rw-r--r--sci-electronics/gspiceui/gspiceui-0.9.99.ebuild67
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.0.0.ebuild66
-rw-r--r--sci-electronics/gspiceui/gspiceui-1.1.0.ebuild76
-rw-r--r--sci-electronics/gspiceui/metadata.xml12
-rw-r--r--sci-electronics/gtkwave/Manifest5
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.45.ebuild75
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.47.ebuild75
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.59.ebuild75
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.64.ebuild75
-rw-r--r--sci-electronics/gtkwave/gtkwave-3.3.65.ebuild75
-rw-r--r--sci-electronics/gtkwave/metadata.xml19
-rw-r--r--sci-electronics/gwave/Manifest1
-rw-r--r--sci-electronics/gwave/files/gwave-20090213-as-needed.patch54
-rw-r--r--sci-electronics/gwave/gwave-20090213-r1.ebuild58
-rw-r--r--sci-electronics/gwave/metadata.xml15
-rw-r--r--sci-electronics/irsim/Manifest2
-rw-r--r--sci-electronics/irsim/files/irsim-9.7.72-ldflags.patch44
-rw-r--r--sci-electronics/irsim/files/irsim-9.7.79-datadir.patch23
-rw-r--r--sci-electronics/irsim/irsim-9.7.79.ebuild54
-rw-r--r--sci-electronics/irsim/irsim-9.7.87.ebuild50
-rw-r--r--sci-electronics/irsim/metadata.xml12
-rw-r--r--sci-electronics/iverilog/Manifest2
-rw-r--r--sci-electronics/iverilog/files/iverilog-0.9.1-gcc45.patch37
-rw-r--r--sci-electronics/iverilog/iverilog-0.9.6.ebuild50
-rw-r--r--sci-electronics/iverilog/iverilog-0.9.7.ebuild50
-rw-r--r--sci-electronics/iverilog/metadata.xml11
-rw-r--r--sci-electronics/kicad/Manifest2
-rw-r--r--sci-electronics/kicad/files/kicad-desktop-file.patch35
-rw-r--r--sci-electronics/kicad/files/kicad-missing-doc.patch25
-rw-r--r--sci-electronics/kicad/files/kicad-native-boost.patch27
-rw-r--r--sci-electronics/kicad/files/kicad-scripts.patch25
-rw-r--r--sci-electronics/kicad/kicad-20130518.ebuild129
-rw-r--r--sci-electronics/kicad/metadata.xml11
-rw-r--r--sci-electronics/klayout/Manifest1
-rw-r--r--sci-electronics/klayout/files/klayout-0.21.7-Makefile.conf.linux-gentoo43
-rw-r--r--sci-electronics/klayout/files/klayout-0.22.8-noautoruby.patch18
-rw-r--r--sci-electronics/klayout/files/klayout-0.23.10-Makefile.conf.linux-gentoo45
-rw-r--r--sci-electronics/klayout/klayout-0.23.10.ebuild65
-rw-r--r--sci-electronics/klayout/metadata.xml9
-rw-r--r--sci-electronics/linsmith/Manifest1
-rw-r--r--sci-electronics/linsmith/files/linsmith-datafiles.patch30
-rw-r--r--sci-electronics/linsmith/linsmith-0.99.28.ebuild66
-rw-r--r--sci-electronics/linsmith/metadata.xml12
-rw-r--r--sci-electronics/magic/Manifest5
-rw-r--r--sci-electronics/magic/files/magic-7.5.202-include.patch20
-rw-r--r--sci-electronics/magic/files/magic-7.5.202-install.patch15
-rw-r--r--sci-electronics/magic/files/magic-7.5.231-blt-test.patch11
-rw-r--r--sci-electronics/magic/files/magic-7.5.231-ldflags.patch16
-rw-r--r--sci-electronics/magic/files/magic-7.5.231-verbose-build.patch35
-rw-r--r--sci-electronics/magic/files/magic-ldflags.patch40
-rw-r--r--sci-electronics/magic/magic-7.5.202-r1.ebuild65
-rw-r--r--sci-electronics/magic/magic-7.5.231.ebuild74
-rw-r--r--sci-electronics/magic/magic-8.0.138.ebuild77
-rw-r--r--sci-electronics/magic/magic-8.0.207.ebuild74
-rw-r--r--sci-electronics/magic/metadata.xml25
-rw-r--r--sci-electronics/metadata.xml37
-rw-r--r--sci-electronics/netgen/Manifest2
-rw-r--r--sci-electronics/netgen/files/netgen-1.3.10-tcl-bin-name.patch14
-rw-r--r--sci-electronics/netgen/files/netgen-1.4.40-tcl-bin-name.patch14
-rw-r--r--sci-electronics/netgen/metadata.xml18
-rw-r--r--sci-electronics/netgen/netgen-1.3.11.ebuild61
-rw-r--r--sci-electronics/netgen/netgen-1.4.52.ebuild60
-rw-r--r--sci-electronics/ngspice/Manifest2
-rw-r--r--sci-electronics/ngspice/files/ngspice-23-flags.patch40
-rw-r--r--sci-electronics/ngspice/metadata.xml12
-rw-r--r--sci-electronics/ngspice/ngspice-26.ebuild103
-rw-r--r--sci-electronics/oregano/Manifest2
-rw-r--r--sci-electronics/oregano/files/oregano-0.69.1-desktop_file_update.patch26
-rw-r--r--sci-electronics/oregano/files/oregano-0.69.1-fix-libm.patch11
-rw-r--r--sci-electronics/oregano/files/oregano-0.69.1-scons_env_flags.patch27
-rw-r--r--sci-electronics/oregano/files/oregano-0.82-asneeded.patch22
-rw-r--r--sci-electronics/oregano/files/oregano-0.82-automake.patch25
-rw-r--r--sci-electronics/oregano/files/oregano-0.82-format-security.patch35
-rw-r--r--sci-electronics/oregano/files/oregano-0.82-remove.unneeded.docs.patch13
-rw-r--r--sci-electronics/oregano/metadata.xml13
-rw-r--r--sci-electronics/oregano/oregano-0.69.1-r1.ebuild59
-rw-r--r--sci-electronics/oregano/oregano-0.82.ebuild65
-rw-r--r--sci-electronics/osqoop/Manifest1
-rw-r--r--sci-electronics/osqoop/metadata.xml11
-rw-r--r--sci-electronics/osqoop/osqoop-1.1.1.ebuild34
-rw-r--r--sci-electronics/pcb/Manifest1
-rw-r--r--sci-electronics/pcb/files/pcb-20110918-fix-config.diff14
-rw-r--r--sci-electronics/pcb/metadata.xml23
-rw-r--r--sci-electronics/pcb/pcb-20140316.ebuild149
-rw-r--r--sci-electronics/petrify/Manifest1
-rw-r--r--sci-electronics/petrify/metadata.xml13
-rw-r--r--sci-electronics/petrify/petrify-4.2-r1.ebuild36
-rw-r--r--sci-electronics/plcedit/Manifest1
-rw-r--r--sci-electronics/plcedit/files/plcedit-2.2.1-gcc47.patch15
-rw-r--r--sci-electronics/plcedit/metadata.xml12
-rw-r--r--sci-electronics/plcedit/plcedit-2.2.1.ebuild43
-rw-r--r--sci-electronics/puff/Manifest1
-rw-r--r--sci-electronics/puff/metadata.xml9
-rw-r--r--sci-electronics/puff/puff-20100127.ebuild49
-rw-r--r--sci-electronics/pulseview/Manifest1
-rw-r--r--sci-electronics/pulseview/metadata.xml8
-rw-r--r--sci-electronics/pulseview/pulseview-0.2.0.ebuild46
-rw-r--r--sci-electronics/pulseview/pulseview-9999.ebuild46
-rw-r--r--sci-electronics/qelectrotech/Manifest1
-rw-r--r--sci-electronics/qelectrotech/files/qelectrotech-0.3-fix-paths.patch49
-rw-r--r--sci-electronics/qelectrotech/metadata.xml5
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-0.30.ebuild56
-rw-r--r--sci-electronics/qelectrotech/qelectrotech-9999.ebuild52
-rw-r--r--sci-electronics/qucs/Manifest1
-rw-r--r--sci-electronics/qucs/metadata.xml12
-rw-r--r--sci-electronics/qucs/qucs-0.0.17.130503.ebuild33
-rw-r--r--sci-electronics/sigrok-cli/Manifest1
-rw-r--r--sci-electronics/sigrok-cli/metadata.xml8
-rw-r--r--sci-electronics/sigrok-cli/sigrok-cli-0.5.0.ebuild46
-rw-r--r--sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild46
-rw-r--r--sci-electronics/spice/Manifest1
-rw-r--r--sci-electronics/spice/files/spice-3.5.5-gcc-4.1.patch69
-rw-r--r--sci-electronics/spice/metadata.xml14
-rw-r--r--sci-electronics/spice/spice-3.5.5-r1.ebuild69
-rw-r--r--sci-electronics/splat/Manifest2
-rw-r--r--sci-electronics/splat/files/splat-1.2.2-gcc43.patch10
-rw-r--r--sci-electronics/splat/metadata.xml16
-rw-r--r--sci-electronics/splat/splat-1.4.1-r1.ebuild92
-rw-r--r--sci-electronics/splat/splat-1.4.2.ebuild92
-rw-r--r--sci-electronics/systemc/Manifest3
-rw-r--r--sci-electronics/systemc/files/systemc-2.3.0-config.patch21
-rw-r--r--sci-electronics/systemc/metadata.xml13
-rw-r--r--sci-electronics/systemc/systemc-2.2.0-r2.ebuild67
-rw-r--r--sci-electronics/systemc/systemc-2.3.0.ebuild76
-rw-r--r--sci-electronics/systemc/systemc-2.3.1.ebuild59
-rw-r--r--sci-electronics/vbs/Manifest1
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch111
-rw-r--r--sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch30
-rw-r--r--sci-electronics/vbs/metadata.xml10
-rw-r--r--sci-electronics/vbs/vbs-1.4.0.ebuild42
-rw-r--r--sci-electronics/voacapl/Manifest4
-rw-r--r--sci-electronics/voacapl/metadata.xml8
-rw-r--r--sci-electronics/voacapl/voacapl-0.5.8.ebuild22
-rw-r--r--sci-electronics/voacapl/voacapl-0.6.4.ebuild27
-rw-r--r--sci-electronics/voacapl/voacapl-0.6.5.ebuild27
-rw-r--r--sci-electronics/voacapl/voacapl-0.6.7.ebuild27
-rw-r--r--sci-electronics/xcircuit/Manifest6
-rw-r--r--sci-electronics/xcircuit/metadata.xml24
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.7.48.ebuild63
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.7.49.ebuild63
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.7.50.ebuild63
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.8.39.ebuild63
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.8.40.ebuild63
-rw-r--r--sci-electronics/xcircuit/xcircuit-3.8.77.ebuild63
-rw-r--r--sci-electronics/xnec2c/Manifest5
-rw-r--r--sci-electronics/xnec2c/metadata.xml35
-rw-r--r--sci-electronics/xnec2c/xnec2c-2.8.ebuild35
-rw-r--r--sci-electronics/xnec2c/xnec2c-3.0.ebuild35
-rw-r--r--sci-electronics/xnec2c/xnec2c-3.1.ebuild35
-rw-r--r--sci-electronics/xnec2c/xnec2c-3.2.ebuild35
-rw-r--r--sci-electronics/xnec2c/xnec2c-3.3.ebuild35
-rw-r--r--sci-electronics/xoscope/Manifest1
-rw-r--r--sci-electronics/xoscope/files/xoscope-2.0-comedi_compile.patch32
-rw-r--r--sci-electronics/xoscope/files/xoscope-2.0-implicit_decls.patch29
-rw-r--r--sci-electronics/xoscope/files/xoscope-2.0-man_no_-Tutf8.patch11
-rw-r--r--sci-electronics/xoscope/files/xoscope-2.0-remove_bundled_gtkdatabox.patch205
-rw-r--r--sci-electronics/xoscope/metadata.xml12
-rw-r--r--sci-electronics/xoscope/xoscope-2.0.ebuild38
271 files changed, 9084 insertions, 0 deletions
diff --git a/sci-electronics/Stage/Manifest b/sci-electronics/Stage/Manifest
new file mode 100644
index 000000000000..60d4bccba803
--- /dev/null
+++ b/sci-electronics/Stage/Manifest
@@ -0,0 +1 @@
+DIST Stage-4.1.1.tar.gz 1014322 SHA256 0f115024db427a72c92c85d0987ca10b8dbc55fd756ae7f51e0277c389039498 SHA512 db39135ab2b93925dd0bfbec19d8c2820e3d6ba7caf3cf5f4b617fbdfa76b720ad99f21fde0a08559ab905d819325f5391b29deb7b6af7bb72f522ac15eb5bf2 WHIRLPOOL 7305f903ac7fea4798d11abe64774b401659ace0b5ea840b339c6433bf68da5712abbeeee0f031e668da3f00c2529c15f0a854b38cea2f6780d854658c611e8b
diff --git a/sci-electronics/Stage/Stage-4.1.1.ebuild b/sci-electronics/Stage/Stage-4.1.1.ebuild
new file mode 100644
index 000000000000..424c575679c9
--- /dev/null
+++ b/sci-electronics/Stage/Stage-4.1.1.ebuild
@@ -0,0 +1,38 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+SCM=""
+if [ "${PV#9999}" != "${PV}" ] ; then
+ SCM="git-r3"
+ EGIT_REPO_URI="https://github.com/rtv/Stage"
+fi
+
+inherit ${SCM} cmake-utils
+
+if [ "${PV#9999}" != "${PV}" ] ; then
+ KEYWORDS=""
+ SRC_URI=""
+else
+ KEYWORDS="~amd64"
+ SRC_URI="https://github.com/rtv/Stage/archive/v${PV}.tar.gz -> ${P}.tar.gz"
+fi
+
+DESCRIPTION="The Stage Robot Simulator"
+HOMEPAGE="http://rtv.github.io/Stage/"
+LICENSE="GPL-2"
+SLOT="0"
+IUSE=""
+
+RDEPEND="
+ dev-libs/libltdl:0
+ virtual/jpeg:0
+ media-libs/libpng:0=
+ x11-libs/fltk
+ virtual/glu
+ virtual/opengl
+"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
diff --git a/sci-electronics/Stage/Stage-9999.ebuild b/sci-electronics/Stage/Stage-9999.ebuild
new file mode 100644
index 000000000000..424c575679c9
--- /dev/null
+++ b/sci-electronics/Stage/Stage-9999.ebuild
@@ -0,0 +1,38 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+SCM=""
+if [ "${PV#9999}" != "${PV}" ] ; then
+ SCM="git-r3"
+ EGIT_REPO_URI="https://github.com/rtv/Stage"
+fi
+
+inherit ${SCM} cmake-utils
+
+if [ "${PV#9999}" != "${PV}" ] ; then
+ KEYWORDS=""
+ SRC_URI=""
+else
+ KEYWORDS="~amd64"
+ SRC_URI="https://github.com/rtv/Stage/archive/v${PV}.tar.gz -> ${P}.tar.gz"
+fi
+
+DESCRIPTION="The Stage Robot Simulator"
+HOMEPAGE="http://rtv.github.io/Stage/"
+LICENSE="GPL-2"
+SLOT="0"
+IUSE=""
+
+RDEPEND="
+ dev-libs/libltdl:0
+ virtual/jpeg:0
+ media-libs/libpng:0=
+ x11-libs/fltk
+ virtual/glu
+ virtual/opengl
+"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
diff --git a/sci-electronics/Stage/metadata.xml b/sci-electronics/Stage/metadata.xml
new file mode 100644
index 000000000000..464271f6d8f2
--- /dev/null
+++ b/sci-electronics/Stage/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <maintainer>
+ <email>aballier@gentoo.org</email>
+ <name>Alexis Ballier</name>
+ </maintainer>
+ <upstream>
+ <remote-id type="github">rtv/Stage</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/alliance/Manifest b/sci-electronics/alliance/Manifest
new file mode 100644
index 000000000000..b94b4ab0ea6b
--- /dev/null
+++ b/sci-electronics/alliance/Manifest
@@ -0,0 +1 @@
+DIST alliance-5.0-20110203.tar.gz 8112254 SHA256 32bb4634921aacaf620ae337433cbaf16171b950fadab8111325501962278fb1 SHA512 03859d4d5167c223c2af9784843303a46d9a47e7fb26c2dadd60361b6735f6afb75c3097460dd804ef339c72f2bf0f60e78713be88d2c5ad06ad8b0c376857c8 WHIRLPOOL 963ce210f30c5c95ef44a1983d0bd284edb3fa3cd941aff3022d7565972831d777b675428d62b0dbf55c3a2fafedf21db3ffa275cd46c8fe60ea5213c2368df7
diff --git a/sci-electronics/alliance/alliance-5.0.20110203.ebuild b/sci-electronics/alliance/alliance-5.0.20110203.ebuild
new file mode 100644
index 000000000000..af31b67d9383
--- /dev/null
+++ b/sci-electronics/alliance/alliance-5.0.20110203.ebuild
@@ -0,0 +1,64 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit versionator flag-o-matic eutils
+
+MY_PV=$(replace_version_separator 2 '-' )
+UPSTREAM_VERSION=$(get_version_component_range 1-2)
+URL="http://www-asim.lip6.fr/pub/alliance/distribution/${UPSTREAM_VERSION}"
+
+DESCRIPTION="Digital IC design tools (simulation, synthesis, place/route, etc...)"
+HOMEPAGE="https://soc-extras.lip6.fr/en/alliance-abstract-en/"
+SRC_URI="${URL}/${PN}-${MY_PV}.tar.gz"
+
+LICENSE="GPL-2 LGPL-2"
+
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+DEPEND=">=x11-libs/motif-2.3:0
+ x11-libs/libXpm
+ x11-libs/libXt"
+RDEPEND="${DEPEND}"
+
+S="${WORKDIR}/${PN}-${UPSTREAM_VERSION}"
+
+src_prepare() {
+ #fix buffer overrun (bug 340789)
+ epatch \
+ "${FILESDIR}"/${PN}-${UPSTREAM_VERSION}.20070718-overun.patch \
+ "${FILESDIR}"/${P}-impl-dec.patch
+}
+
+src_configure() {
+ # Fix bug #134285
+ replace-flags -O3 -O2
+
+ # Alliance requires everything to be in the same directory
+ econf \
+ --prefix=/usr/lib/${PN} \
+ --mandir=/usr/lib/${PN}/man \
+ --with-x \
+ --with-motif \
+ --with-xpm \
+ --with-alc-shared
+}
+
+src_compile() {
+ # See bug #134145
+ emake -j1
+}
+
+src_install() {
+ make install DESTDIR="${D}"
+ insinto /etc
+ newins distrib/etc/alc_env.sh alliance.env
+}
+
+pkg_postinst() {
+ elog "Users should source /etc/alliance.env before working with Alliance tools."
+}
diff --git a/sci-electronics/alliance/files/alliance-5.0-gcc43.patch b/sci-electronics/alliance/files/alliance-5.0-gcc43.patch
new file mode 100644
index 000000000000..fbca8ff53b36
--- /dev/null
+++ b/sci-electronics/alliance/files/alliance-5.0-gcc43.patch
@@ -0,0 +1,24 @@
+diff -ur alliance-5.0-orig/nero/src/MDefs.h alliance-5.0/nero/src/MDefs.h
+--- alliance-5.0-orig/nero/src/MDefs.h 2008-11-26 16:41:06.000000000 -0500
++++ alliance-5.0/nero/src/MDefs.h 2008-11-26 16:44:40.000000000 -0500
+@@ -24,6 +24,8 @@
+ # define __MDefs__ 1
+
+
++# include <limits.h>
++# include <cstring>
+ # include "UDefs.h"
+
+
+diff -ur alliance-5.0-orig/ocp/src/placer/PPlacement.h alliance-5.0/ocp/src/placer/PPlacement.h
+--- alliance-5.0-orig/ocp/src/placer/PPlacement.h 2008-11-26 16:41:06.000000000 -0500
++++ alliance-5.0/ocp/src/placer/PPlacement.h 2008-11-26 16:46:06.000000000 -0500
+@@ -43,6 +43,7 @@
+ #include <fstream>
+ #include <vector>
+ #include <map>
++#include <cstring>
+ using namespace std;
+
+ #include "mut.h"
+
diff --git a/sci-electronics/alliance/files/alliance-5.0.20070718-overun.patch b/sci-electronics/alliance/files/alliance-5.0.20070718-overun.patch
new file mode 100644
index 000000000000..50cfc30be23f
--- /dev/null
+++ b/sci-electronics/alliance/files/alliance-5.0.20070718-overun.patch
@@ -0,0 +1,12 @@
+# fix buffer overun (bug 340789)
+--- druc/src/drucbath.c.orig 2010-10-15 14:15:52.000000000 +0000
++++ druc/src/drucbath.c 2010-10-15 14:19:03.000000000 +0000
+@@ -66,7 +66,7 @@
+ rdsins_list *InstanceCourante;
+ char *TmpErrorFileName;
+ FILE *TmpErrorFile;
+-char DrucTmpFileBuffer [ DRUC_MAX_STRING_BUFFER + 1 ];
++char DrucTmpFileBuffer [ DRUC_MAX_FILE_BUFFER + 1 ];
+
+ fputs ( "\n" ,
+ DrucErrorFile
diff --git a/sci-electronics/alliance/files/alliance-5.0.20070718-test.patch b/sci-electronics/alliance/files/alliance-5.0.20070718-test.patch
new file mode 100644
index 000000000000..b9725e67974d
--- /dev/null
+++ b/sci-electronics/alliance/files/alliance-5.0.20070718-test.patch
@@ -0,0 +1,11 @@
+#fixes test run (bug 282490)
+--- bvl/src/bvl_bcomp_y.y.orig 2010-10-13 09:29:48.000000000 +0200
++++ bvl/src/bvl_bcomp_y.y 2010-10-13 09:30:13.000000000 +0200
+@@ -17,6 +17,7 @@
+ #include "bvl.h"
+ #include "bvl_byacc.h"
+ #include "bvl_bedef.h"
++#include "bvl_bcomp_y.h"
+
+ /* ###--------------------------------------------------------------### */
+ /* function : bvl_y_error */
diff --git a/sci-electronics/alliance/files/alliance-5.0.20110203-impl-dec.patch b/sci-electronics/alliance/files/alliance-5.0.20110203-impl-dec.patch
new file mode 100644
index 000000000000..c1ef31619167
--- /dev/null
+++ b/sci-electronics/alliance/files/alliance-5.0.20110203-impl-dec.patch
@@ -0,0 +1,119 @@
+ dreal/src/GRD_error.c | 1 +
+ lynx/src/cutelbow.c | 1 +
+ lynx/src/parse.c | 1 +
+ mips_asm/src/mips_util.c | 1 +
+ proof/src/proof_main.c | 1 +
+ proof/src/proof_util.c | 1 +
+ xfsm/src/XFS_error.c | 1 +
+ xgra/src/XGR_error.c | 1 +
+ xsch/src/XSC_error.c | 1 +
+ 9 files changed, 9 insertions(+), 0 deletions(-)
+
+diff --git a/dreal/src/GRD_error.c b/dreal/src/GRD_error.c
+index e3baba6..c00bf13 100644
+--- a/dreal/src/GRD_error.c
++++ b/dreal/src/GRD_error.c
+@@ -46,6 +46,7 @@
+ # include <stdlib.h>
+ # include <signal.h>
+ # include <setjmp.h>
++# include <string.h>
+ # include "mut.h"
+ # include "mph.h"
+ # include "rds.h"
+diff --git a/lynx/src/cutelbow.c b/lynx/src/cutelbow.c
+index 7411f35..306649b 100644
+--- a/lynx/src/cutelbow.c
++++ b/lynx/src/cutelbow.c
+@@ -43,6 +43,7 @@
+ \------------------------------------------------------------*/
+
+ # include <stdio.h>
++# include <string.h>
+
+ # include "mut.h"
+ # include "mlo.h"
+diff --git a/lynx/src/parse.c b/lynx/src/parse.c
+index ed8a2e5..9077c3f 100644
+--- a/lynx/src/parse.c
++++ b/lynx/src/parse.c
+@@ -43,6 +43,7 @@
+ \------------------------------------------------------------*/
+
+ # include <stdio.h>
++# include <string.h>
+
+ # include "mut.h"
+ # include "mlo.h"
+diff --git a/mips_asm/src/mips_util.c b/mips_asm/src/mips_util.c
+index f5fa55f..5ad6a4f 100644
+--- a/mips_asm/src/mips_util.c
++++ b/mips_asm/src/mips_util.c
+@@ -30,6 +30,7 @@
+ /* ###--------------------------------------------------------------### */
+
+ #include <stdio.h>
++#include <string.h>
+ #include "mut.h"
+ #include "log.h"
+ #include "beh.h"
+diff --git a/proof/src/proof_main.c b/proof/src/proof_main.c
+index b6eee94..3d8a91a 100644
+--- a/proof/src/proof_main.c
++++ b/proof/src/proof_main.c
+@@ -32,6 +32,7 @@
+
+ #include <stdio.h>
+ #include <stdlib.h>
++#include <string.h>
+ #include "mut.h"
+ #include "log.h"
+ #include "beh.h"
+diff --git a/proof/src/proof_util.c b/proof/src/proof_util.c
+index 841937f..fa20ba1 100644
+--- a/proof/src/proof_util.c
++++ b/proof/src/proof_util.c
+@@ -31,6 +31,7 @@
+
+ #include <stdio.h>
+ #include <stdlib.h>
++#include <string.h>
+
+ #include "mut.h"
+ #include "log.h"
+diff --git a/xfsm/src/XFS_error.c b/xfsm/src/XFS_error.c
+index 20ccd0a..5aded2b 100644
+--- a/xfsm/src/XFS_error.c
++++ b/xfsm/src/XFS_error.c
+@@ -46,6 +46,7 @@
+ # include <stdlib.h>
+ # include <signal.h>
+ # include <setjmp.h>
++# include <string.h>
+ # include "mut.h"
+ # include "aut.h"
+ # include "abl.h"
+diff --git a/xgra/src/XGR_error.c b/xgra/src/XGR_error.c
+index 3204423..b2d35c5 100644
+--- a/xgra/src/XGR_error.c
++++ b/xgra/src/XGR_error.c
+@@ -46,6 +46,7 @@
+ # include <stdlib.h>
+ # include <signal.h>
+ # include <setjmp.h>
++# include <string.h>
+ # include "mut.h"
+ # include "aut.h"
+ # include "XSB.h"
+diff --git a/xsch/src/XSC_error.c b/xsch/src/XSC_error.c
+index 9bdf65e..b8c5689 100644
+--- a/xsch/src/XSC_error.c
++++ b/xsch/src/XSC_error.c
+@@ -46,6 +46,7 @@
+ # include <stdlib.h>
+ # include <signal.h>
+ # include <setjmp.h>
++# include <string.h>
+ # include "mut.h"
+ # include "aut.h"
+ # include "mlo.h"
diff --git a/sci-electronics/alliance/metadata.xml b/sci-electronics/alliance/metadata.xml
new file mode 100644
index 000000000000..8160b1a44dde
--- /dev/null
+++ b/sci-electronics/alliance/metadata.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Alliance is a complete set of free CAD tools and portable libraries for VLSI
+ design. It includes a VHDL compiler and simulator, logic synthesis tools, and
+ automatic place and route tools. A complete set of portable CMOS libraries is
+ provided. Alliance is the result of a twelve year effort spent at ASIM
+ department of LIP6 laboratory of the Pierre et Marie Curie University (Paris
+ VI, France). Alliance has been used for research projects such as the 875 000
+ transistors StaCS superscalar microprocessor and 400 000 transistors IEEE
+ Gigabit HSL Router.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/balsa/Manifest b/sci-electronics/balsa/Manifest
new file mode 100644
index 000000000000..c843919dbee2
--- /dev/null
+++ b/sci-electronics/balsa/Manifest
@@ -0,0 +1,5 @@
+DIST balsa-4.0.tar.gz 2828800 SHA256 ae69842dd698e4b97fe3d78c125c40ebda8ba1879a086b5beb135103d3ee3d34 SHA512 b1eab622d9d256970257e512b130deff4a69c996c178d7158fbe6c8bd3e27e4212176c328f92e943564fc92c9b210387fad12c6034d3878db18e95c715300355 WHIRLPOOL b7e09ae89e62f7feb99a6f48a7b11087913359d60eb6dcf7953eee5b1a77d17d8f6a140962d12d500d13ca06181e3281a87a0422eb46ad773f88b8e86771b969
+DIST balsa-sim-verilog-4.0.tar.gz 301397 SHA256 972f3151b2e37e56bd4c5210de263d006dcf8913e3e757ad0623cedc0c821fef SHA512 48b17a0c8f11264726b50cdcf5431794a05feabac238af1270402131787aae6a5316d918a96caaee6d173de9d37c59356c55e1cd4d0c8e31b997922c82a65e20 WHIRLPOOL d11b0b51b6d0613bc5b23c9efd16933c343fdb3c5658e59f816144f8b8936b31ba52c8c38c9819c1a4beeef43ce92402ba0f5827292e0c4d0b3c85b3aea2b9e6
+DIST balsa-tech-example-4.0.tar.gz 137704 SHA256 495cb6adb6759e8c5eb2b87ac4ea530f3b5598d19985ed673e4ba180fb18f78a SHA512 99124da0d937f4683fcf7f0ab5c7811af739044f93657120e5494d8ac0a1f12a0ef32742bbd8469428f34ee1d5271f1e36476541d533950f2940e363e4eb5d4d WHIRLPOOL 97917e2d752378fc577b1b4779d6257117f1b83aa70c805025680734e44a9a7f9cd12dd477778113bd1c40c33eaa571b0b245b506d769731f768f667155474a2
+DIST balsa-tech-minimal-4.0.tar.gz 67628 SHA256 70b83163d75e4bc5876d9f74442e1ed89b4b88fa5436166ee68d85be086c242f SHA512 fd1a76be3cb84bd5885f7b5f6831dc65e7cf08b77c38c0f2092a9edaf9d6b1121c43e5654e4cdff059e2c2bdbf9e6b1dfd9b159034758b4e3d1f8b54de9945bb WHIRLPOOL 198e4e7e7f6de982ff3406a99e257c032c153a70bce44f028305ef66a0194687782c6e87b265975a6d5afc8bfbaec4e1e21bb74f1a6d1ddc815cfa89c6205ba9
+DIST balsa-tech-xilinx-4.0.tar.gz 159683 SHA256 2ca6cc877bef0406a4d219e2ca1c66932d3c725b23b19eef7123b124ad673e5f SHA512 dc0c343d8810fd115338ab6cf3c465da059e16105237d0edb657700b35f3836e2fa5e932c1e8ca2b8b34955a257a9e48539cf532c0582f089fc5973d797f4f5c WHIRLPOOL 9f3ad1a5fb4bdb5398613f1120491f8195ef92059dce8c156a4011ff14ccc104d9cb3245a7f9e781966356323d363cf0f6620d9ad6aff623bc832ef6a56483b8
diff --git a/sci-electronics/balsa/balsa-4.0-r1.ebuild b/sci-electronics/balsa/balsa-4.0-r1.ebuild
new file mode 100644
index 000000000000..b87bd5b40be1
--- /dev/null
+++ b/sci-electronics/balsa/balsa-4.0-r1.ebuild
@@ -0,0 +1,155 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit autotools eutils multilib toolchain-funcs
+
+DESCRIPTION="The Balsa asynchronous synthesis system"
+HOMEPAGE="http://apt.cs.manchester.ac.uk/projects/tools/balsa/"
+SRC_URI="
+ http://apt.cs.manchester.ac.uk/ftp/pub/apt/balsa/${PV}/${P}.tar.gz
+ http://apt.cs.manchester.ac.uk/ftp/pub/apt/balsa/${PV}/${PN}-sim-verilog-${PV}.tar.gz
+ http://apt.cs.manchester.ac.uk/ftp/pub/apt/balsa/${PV}/${PN}-tech-minimal-${PV}.tar.gz
+ http://apt.cs.manchester.ac.uk/ftp/pub/apt/balsa/${PV}/${PN}-tech-example-${PV}.tar.gz
+ http://apt.cs.manchester.ac.uk/ftp/pub/apt/balsa/${PV}/${PN}-tech-xilinx-${PV}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+DEPEND="
+ dev-lang/perl
+ dev-libs/gmp:0
+ sci-electronics/gplcver
+ sci-electronics/iverilog
+ x11-libs/gtk+:2"
+RDEPEND="${DEPEND}
+ dev-scheme/guile
+ media-gfx/graphviz
+ sci-electronics/espresso-ab
+ sci-electronics/gtkwave "
+
+BALSA_TECH_AMS="balsa-tech-ams-20030506.tar.gz"
+
+if [ -f "${DISTDIR}"/${BALSA_TECH_AMS} ]; then
+ TECH_AMS=1
+fi
+
+src_unpack() {
+ unpack ${A}
+ if [ $TECH_AMS ]; then
+ unpack ${BALSA_TECH_AMS}
+ fi
+}
+
+src_prepare() {
+ epatch "${FILESDIR}"/${PV}-libdir.patch
+ epatch "${FILESDIR}"/${PV}-datadir.patch
+ epatch "${FILESDIR}"/${PV}-ldflags.patch
+ eautoreconf
+ sed -i -e "s:\(DEFAULT_INCLUDES = \)\(.*\):\1-I"${S}"/src/libs/ \2/:" "${WORKDIR}"/balsa-sim-verilog-${PV}/libs/Makefile.in || die
+ sed -i -e 's/ $(bindir)/ $(DESTDIR)$(bindir)/' "${S}"/bin/Makefile.in || die
+ sed -i -e 's/ $(balsatypesdir)/ $(DESTDIR)$(balsatypesdir)/' "${S}"/share/balsa/types/Makefile.in || die
+ sed -i -e 's/ $(balsasimdir)/ $(DESTDIR)$(balsasimdir)/' "${S}"/share/balsa/sim/Makefile.in || die
+}
+
+src_configure() {
+ tc-export CXX
+ econf
+}
+
+src_compile() {
+ # compile balsa
+ einfo "Compiling balsa"
+ chmod +x bin/balsa-config
+ PATH="$PATH:"${S}"/bin"
+ emake -j1
+
+ # the additional packages have to be configured after compiling
+ # balsa binaries
+
+ # configure AMS035 tech
+ if [ $TECH_AMS ]; then
+ einfo "Compiling AMS035 tech"
+ cd "${WORKDIR}"/balsa-tech-ams-20030506
+ econf
+ fi
+
+ # config Xilinx FPGA backend
+ einfo "Compiling Xilinx FPGA backend"
+ cd "${WORKDIR}"/balsa-tech-xilinx-${PV}
+ econf
+
+ # config example tech
+ einfo "Compiling tech example"
+ cd "${WORKDIR}"/balsa-tech-example-${PV}
+ econf
+
+ # config minimal tech
+ einfo "Compiling tech example"
+ cd "${WORKDIR}"/balsa-tech-minimal-${PV}
+ econf
+
+ # config verilog simulator wrappers
+ einfo "Compiling verilog simulator wrappers"
+ cd "${WORKDIR}"/balsa-sim-verilog-${PV}
+ econf \
+ --includedir="${S}"/src/libs/balsasim \
+ --with-icarus-includes=/usr/include \
+ --with-icarus-libs=/usr/$(get_libdir) \
+ --with-cver-includes=/usr/include/cver_pli_incs
+}
+
+src_install() {
+ # install balsa
+ emake DESTDIR="${D}" install
+
+ if [ $TECH_AMS ]; then
+ einfo "Installing AMS035 tech"
+ cd "${WORKDIR}"/balsa-tech-ams-20030506
+ emake DESTDIR="${D}" install
+ fi
+
+ einfo "Installing Xilinx FPGA tech"
+ cd "${WORKDIR}"/balsa-tech-xilinx-${PV}
+ emake DESTDIR="${D}" install
+
+ einfo "Installing example tech"
+ cd "${WORKDIR}"/balsa-tech-example-${PV}
+ emake DESTDIR="${D}" install
+
+ einfo "Installing minimal tech"
+ cd "${WORKDIR}"/balsa-tech-minimal-${PV}
+ emake DESTDIR="${D}" install
+
+ einfo "Installing verilog simulator wrappers"
+ cd "${WORKDIR}"/balsa-sim-verilog-${PV}
+ DESTDIR="${D}" emake install
+
+ # fix paths
+ cd "${D}"
+ einfo "Fixing paths"
+ find . -type f -exec sed -i -e "s:${D}::" {} \;
+ find . -name "sed*" -exec rm -f {} \;
+
+ # add some docs
+ cd "${S}"
+ dodoc AUTHORS NEWS README TODO
+
+ # fix collisions
+ rm -f "${D}"/usr/bin/libtool
+}
+
+pkg_postinst() {
+ if [ ! $TECH_AMS ]; then
+ elog "The AMS035 tech library was not installed."
+ elog "If you have the appropriate licenses request"
+ elog "the tech support files directly from balsa@cs.man.ac.uk"
+ elog "and add them to /usr/portage/distfiles before emerging."
+ else
+ elog "The AMS035 tech library was found and installed."
+ fi
+}
diff --git a/sci-electronics/balsa/files/4.0-datadir.patch b/sci-electronics/balsa/files/4.0-datadir.patch
new file mode 100644
index 000000000000..2693508d4ad3
--- /dev/null
+++ b/sci-electronics/balsa/files/4.0-datadir.patch
@@ -0,0 +1,73 @@
+diff --git a/share/scheme/Makefile.am b/share/scheme/Makefile.am
+index 37f9332..e3d81d0 100644
+--- a/share/scheme/Makefile.am
++++ b/share/scheme/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-schemedir = $(datadir)/scheme
++schemedir = $(datadir)/balsa/scheme
+
+ scheme_DATA = \
+ balsa-list-builtins.scm \
+diff --git a/share/style/dual_b/Makefile.am b/share/style/dual_b/Makefile.am
+index 478910d..d41a873 100644
+--- a/share/style/dual_b/Makefile.am
++++ b/share/style/dual_b/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-styledual_bdir = $(datadir)/style/dual_b
++styledual_bdir = $(datadir)/balsa/style/dual_b
+
+ styledual_b_DATA = \
+ startup.scm \
+diff --git a/share/style/four_b_rb/Makefile.am b/share/style/four_b_rb/Makefile.am
+index 9eeac84..b167939 100644
+--- a/share/style/four_b_rb/Makefile.am
++++ b/share/style/four_b_rb/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-stylefour_b_rbdir = $(datadir)/style/four_b_rb
++stylefour_b_rbdir = $(datadir)/balsa/style/four_b_rb
+
+ stylefour_b_rb_DATA = \
+ startup.scm \
+diff --git a/share/style/four_e_e/Makefile.am b/share/style/four_e_e/Makefile.am
+index d374125..f3d9db6 100644
+--- a/share/style/four_e_e/Makefile.am
++++ b/share/style/four_e_e/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-stylefour_e_edir = $(datadir)/style/four_e_e
++stylefour_e_edir = $(datadir)/balsa/style/four_e_e
+
+ stylefour_e_e_DATA = \
+ startup.scm \
+diff --git a/share/style/one_of_2_4/Makefile.am b/share/style/one_of_2_4/Makefile.am
+index ed02904..18d9201 100644
+--- a/share/style/one_of_2_4/Makefile.am
++++ b/share/style/one_of_2_4/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-styleone_of_2_4dir = $(datadir)/style/one_of_2_4
++styleone_of_2_4dir = $(datadir)/balsa/style/one_of_2_4
+
+ styleone_of_2_4_DATA = \
+ startup.scm \
+diff --git a/share/tech/common/Makefile.am b/share/tech/common/Makefile.am
+index 8a34646..0190b73 100644
+--- a/share/tech/common/Makefile.am
++++ b/share/tech/common/Makefile.am
+@@ -2,7 +2,7 @@
+
+ SUBDIRS =
+
+-techcommondir = $(datadir)/tech/common
++techcommondir = $(datadir)/balsa/tech/common
+
+ techcommon_DATA = \
+ components.abs \
diff --git a/sci-electronics/balsa/files/4.0-ldflags.patch b/sci-electronics/balsa/files/4.0-ldflags.patch
new file mode 100644
index 000000000000..74df6c075c29
--- /dev/null
+++ b/sci-electronics/balsa/files/4.0-ldflags.patch
@@ -0,0 +1,11 @@
+--- bin/balsa-make-builtin-lib.in.orig 2010-08-27 11:14:54.000000000 +0200
++++ bin/balsa-make-builtin-lib.in 2010-08-27 11:15:09.000000000 +0200
+@@ -89,7 +89,7 @@
+ # Test compilation
+ if [ -n "${DOCOMPILE}" ]; then
+ if ${LIBTOOL} --mode=compile @CC@ @CFLAGS@ -c ${INCLUDES} -o ${LIBNAME}.o $* &&
+- ${LIBTOOL} --mode=link @CC@ @CFLAGS@ -o ${LIBNAME}.la -c ${INCLUDES} ${LIBNAME}.lo -rpath ${INSTALLDIR} -module
++ ${LIBTOOL} --mode=link @CC@ @CFLAGS@ @LDFLAGS@ -o ${LIBNAME}.la -c ${INCLUDES} ${LIBNAME}.lo -rpath ${INSTALLDIR} -module
+ then
+ :
+ else
diff --git a/sci-electronics/balsa/files/4.0-libdir.patch b/sci-electronics/balsa/files/4.0-libdir.patch
new file mode 100644
index 000000000000..9ba9739dc29f
--- /dev/null
+++ b/sci-electronics/balsa/files/4.0-libdir.patch
@@ -0,0 +1,36 @@
+diff --git a/share/balsa/parts/Makefile.am b/share/balsa/parts/Makefile.am
+index 6873e26..e168d70 100644
+--- a/share/balsa/parts/Makefile.am
++++ b/share/balsa/parts/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-balsapartsdir = $(datadir)/balsa/parts
++balsapartsdir = $(libdir)/balsa/parts
+
+ balsa_files = buffers.balsa counters.balsa
+ breeze_files = buffers.breeze counters.breeze
+diff --git a/share/balsa/sim/Makefile.am b/share/balsa/sim/Makefile.am
+index 2cd2932..233d2ec 100644
+--- a/share/balsa/sim/Makefile.am
++++ b/share/balsa/sim/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-balsasimdir = $(datadir)/balsa/sim
++balsasimdir = $(libdir)/balsa/sim
+
+ breeze_files = fileio.breeze string.breeze memory.breeze sim.breeze portio.breeze
+ balsa_files = fileio.balsa string.balsa memory.balsa sim.balsa portio.balsa
+diff --git a/share/balsa/types/Makefile.am b/share/balsa/types/Makefile.am
+index 475058a..317176f 100644
+--- a/share/balsa/types/Makefile.am
++++ b/share/balsa/types/Makefile.am
+@@ -1,6 +1,6 @@
+ ## Process this file with automake to produce Makefile.in
+
+-balsatypesdir = $(datadir)/balsa/types
++balsatypesdir = $(libdir)/balsa/types
+
+ balsa_files = basic.balsa builtin.balsa synthesis.balsa types.balsa
+ breeze_files = basic.breeze builtin.breeze synthesis.breeze types.breeze
diff --git a/sci-electronics/balsa/metadata.xml b/sci-electronics/balsa/metadata.xml
new file mode 100644
index 000000000000..5e761826e5a7
--- /dev/null
+++ b/sci-electronics/balsa/metadata.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Balsa is an asynchronous circuit synthesis system developed over a number of
+ years at the APT group of the School Of Computer Science, The University of
+ Manchester. Balsa is built around the Handshake Circuits methology and can
+ generate gate level netlists from high-level descriptions in the Balsa
+ language. Both dual-rail (QDI) and single-rail (bundled data) circuits can be
+ generated.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/cirkuit/Manifest b/sci-electronics/cirkuit/Manifest
new file mode 100644
index 000000000000..bbac3a38ee47
--- /dev/null
+++ b/sci-electronics/cirkuit/Manifest
@@ -0,0 +1 @@
+DIST cirkuit-0.4.3.tar.bz2 379628 SHA256 ae897fe0e8822da706ffbb107ebfcd5fb21d6d807ca9a18976758d35ce83224b SHA512 880033a7f52142d474840a4d095f89f37a2181f452712bde121646850fe3954f2851fd6dfdcfdfd2ce7b0b8d630522343678e6c5d1b67cddde73b24b86c9e6a5 WHIRLPOOL 8487f0cb1a315202a6599ace899cedfd39f346844b80f8bc9022ffc7ac206972e70d7124bb9e5158033bf65661766e5485ccad8324ee14a664cab67916c5cabf
diff --git a/sci-electronics/cirkuit/cirkuit-0.4.3-r1.ebuild b/sci-electronics/cirkuit/cirkuit-0.4.3-r1.ebuild
new file mode 100644
index 000000000000..8cd8e267687c
--- /dev/null
+++ b/sci-electronics/cirkuit/cirkuit-0.4.3-r1.ebuild
@@ -0,0 +1,39 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+KDE_MINIMAL="4.12.0"
+inherit kde4-base
+
+DESCRIPTION="An application to generate publication-ready figures"
+HOMEPAGE="http://wwwu.uni-klu.ac.at/magostin/cirkuit.html"
+SRC_URI="http://wwwu.uni-klu.ac.at/magostin/src/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="4"
+KEYWORDS="amd64 x86"
+IUSE="debug"
+
+DEPEND="
+ >=app-text/poppler-0.12.3-r3[qt4]
+"
+RDEPEND="${DEPEND}
+ app-text/ghostscript-gpl
+ app-text/ps2eps
+ dev-texlive/texlive-pstricks
+ media-gfx/dpic
+ media-gfx/pdf2svg
+ media-libs/netpbm
+ virtual/latex-base
+"
+
+DOCS=( Changelog README )
+
+src_install() {
+ kde4-base_src_install
+
+ # bug 496694 - this file is part of >=kde-base/katepart-4.12.0
+ rm "${D}"/usr/share/apps/katepart/syntax/gnuplot.xml || die
+}
diff --git a/sci-electronics/cirkuit/metadata.xml b/sci-electronics/cirkuit/metadata.xml
new file mode 100644
index 000000000000..a23f444b67d6
--- /dev/null
+++ b/sci-electronics/cirkuit/metadata.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>kde</herd>
+</pkgmetadata>
diff --git a/sci-electronics/drawtiming/Manifest b/sci-electronics/drawtiming/Manifest
new file mode 100644
index 000000000000..0ea4ada13fc1
--- /dev/null
+++ b/sci-electronics/drawtiming/Manifest
@@ -0,0 +1 @@
+DIST drawtiming-0.7.1.tar.gz 123714 SHA256 ae35a369f71f03b219d23f56329ced73100f79ffda38dd8d31d1e9e510f3cf6e SHA512 f474ee372967f05abfa49a15d635279ee23715f1472a82aab8890f8144eab3fdb7fc0529510ed7f92b7073097d77ee2266e5a97f55e755292416e126537fcbbd WHIRLPOOL 98bc338ce9befe48679aef6e60963e723ad89b9ec4ab199be9d9af5bbc924aab8f9a48790bcac5a5612f9e2d644641a870939303b622a1b4f0340340d1c2925c
diff --git a/sci-electronics/drawtiming/drawtiming-0.7.1-r1.ebuild b/sci-electronics/drawtiming/drawtiming-0.7.1-r1.ebuild
new file mode 100644
index 000000000000..7257c75867e7
--- /dev/null
+++ b/sci-electronics/drawtiming/drawtiming-0.7.1-r1.ebuild
@@ -0,0 +1,33 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit eutils
+
+DESCRIPTION="Command line tool for drawing timing diagrams"
+HOMEPAGE="http://drawtiming.sourceforge.net/index.html"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="examples"
+
+DEPEND="media-gfx/imagemagick"
+RDEPEND="${DEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${P}-gcc43.patch \
+ "${FILESDIR}"/${P}-ldflags.patch
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc AUTHORS ChangeLog README THANKS
+ if use examples; then
+ insinto "/usr/share/doc/${PF}/examples"
+ doins samples/*.txt
+ fi
+}
diff --git a/sci-electronics/drawtiming/drawtiming-0.7.1.ebuild b/sci-electronics/drawtiming/drawtiming-0.7.1.ebuild
new file mode 100644
index 000000000000..273ee0cbceb6
--- /dev/null
+++ b/sci-electronics/drawtiming/drawtiming-0.7.1.ebuild
@@ -0,0 +1,33 @@
+# Copyright 1999-2011 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=3
+
+inherit eutils
+
+DESCRIPTION="Command line tool for drawing timing diagrams"
+HOMEPAGE="http://drawtiming.sourceforge.net/index.html"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="examples"
+
+DEPEND="media-gfx/imagemagick"
+RDEPEND="${DEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${P}-gcc43.patch \
+ "${FILESDIR}"/${P}-ldflags.patch
+}
+
+src_install() {
+ emake DESTDIR="${D}" install || die
+ dodoc AUTHORS ChangeLog README THANKS || die
+ if use examples; then
+ insinto "/usr/share/doc/${PF}/examples"
+ doins samples/*.txt || die
+ fi
+}
diff --git a/sci-electronics/drawtiming/files/drawtiming-0.7.1-gcc43.patch b/sci-electronics/drawtiming/files/drawtiming-0.7.1-gcc43.patch
new file mode 100644
index 000000000000..4249c79aa6e1
--- /dev/null
+++ b/sci-electronics/drawtiming/files/drawtiming-0.7.1-gcc43.patch
@@ -0,0 +1,10 @@
+--- src/timing.cc.old 2011-06-01 18:27:42.000000000 +0000
++++ src/timing.cc 2011-06-01 18:28:09.000000000 +0000
+@@ -23,6 +23,7 @@
+ #include "timing.h"
+ #include <map>
+ #include <fstream>
++#include <cstring>
+ using namespace std;
+ using namespace timing;
+ using namespace Magick;
diff --git a/sci-electronics/drawtiming/files/drawtiming-0.7.1-ldflags.patch b/sci-electronics/drawtiming/files/drawtiming-0.7.1-ldflags.patch
new file mode 100644
index 000000000000..d50621f76796
--- /dev/null
+++ b/sci-electronics/drawtiming/files/drawtiming-0.7.1-ldflags.patch
@@ -0,0 +1,36 @@
+Description: Switch LDADD with LDFLAGS
+ This change is to move the objects before the libs. to fix an FTBFS when
+ --as-needed is passed to gcc.
+Author: حمودي (Ahmed El-Mahmoudy) <aelmahmoudy@sabily.org>
+Forwarded: yes
+--- drawtiming-0.7.1.orig/src/Makefile.am
++++ drawtiming-0.7.1/src/Makefile.am
+@@ -3,7 +3,7 @@
+
+ bin_PROGRAMS = drawtiming
+ drawtiming_SOURCES = main.cc globals.h parser.yy scanner.ll timing.cc timing.h
+-drawtiming_LDFLAGS = @MAGICK_LIBS@
++drawtiming_LDADD = @MAGICK_LIBS@
+
+ EXTRA_DIST = parser.h
+ BUILT_SOURCES = parser.h
+--- drawtiming-0.7.1.orig/src/Makefile.in
++++ drawtiming-0.7.1/src/Makefile.in
+@@ -51,7 +51,7 @@
+ am_drawtiming_OBJECTS = main.$(OBJEXT) parser.$(OBJEXT) \
+ scanner.$(OBJEXT) timing.$(OBJEXT)
+ drawtiming_OBJECTS = $(am_drawtiming_OBJECTS)
+-drawtiming_LDADD = $(LDADD)
++drawtiming_LDADD = @MAGICK_LIBS@ $(LDADD)
+ DEFAULT_INCLUDES = -I. -I$(srcdir) -I$(top_builddir)
+ depcomp = $(SHELL) $(top_srcdir)/depcomp
+ am__depfiles_maybe = depfiles
+@@ -159,7 +159,7 @@
+ AM_CXXFLAGS = @MAGICK_CFLAGS@ -DYYDEBUG=1
+ AM_YFLAGS = -d
+ drawtiming_SOURCES = main.cc globals.h parser.yy scanner.ll timing.cc timing.h
+-drawtiming_LDFLAGS = @MAGICK_LIBS@
++drawtiming_LDFLAGS = @LDFLAGS@
+ EXTRA_DIST = parser.h
+ BUILT_SOURCES = parser.h
+ all: $(BUILT_SOURCES)
diff --git a/sci-electronics/drawtiming/metadata.xml b/sci-electronics/drawtiming/metadata.xml
new file mode 100644
index 000000000000..1c604f7d6c24
--- /dev/null
+++ b/sci-electronics/drawtiming/metadata.xml
@@ -0,0 +1,16 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ This package provides a command line tool for documenting hardware
+ and software designs through timing diagrams. It reads signal descriptions
+ from a text file with an intuitive syntax, and outputs a timing diagram to
+ an image file. Notation typical of timing diagrams found in the Electrical
+ Engineering discipline is used, including arrows indicating causal
+ relationships between signal transitions.
+ </longdescription>
+ <upstream>
+ <remote-id type="sourceforge">drawtiming</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/eagle/Manifest b/sci-electronics/eagle/Manifest
new file mode 100644
index 000000000000..147bfbb6d225
--- /dev/null
+++ b/sci-electronics/eagle/Manifest
@@ -0,0 +1,5 @@
+DIST eagle-lin-5.11.0.run 36691433 SHA256 b7202e45fb2cb6c3fc6104a19f7cd3ef03d8776fdd277288feda412586cbc42d SHA512 8bed866f963c397dfd634ed06c4a4f6ba640267d1bc9f377c7be99dea0b0dc1114217a24b7b90711b428650fdb47bd00cc555ceb515c6c64721e5b61faaf9add WHIRLPOOL 7fc378cb448d2c67c6cd8809854dc8d446edd3a83f3ad3957a4fa3516c166f234a845ce9644fcce7d1ecf7525956041e749317f2ceb4f666ee9a161705ebc4d8
+DIST eagle-lin-5.12.0.run 36580499 SHA256 7903438b6f171894d7503d6b6e3149d3da321c386a86d1b05f1e08a3b924dafd SHA512 b2faf4cb4521f8ec6e843f7ea70b0e0dc0df36ded2687162921a4fec15386bf002c813dd198c6812544c9a6e0c0cab2fca514f6a1aa67dd69890b5edf9b1bc3d WHIRLPOOL 6db4f6ce8d42677778d0496d704696d4b02c66a8293535d6c7d3295a3880f1530f52f48b857310cd088a2bf28ddf8cae893a4532598e0672a267fd61890e7ba8
+DIST eagle-lin-6.6.0.run 41425368 SHA256 1dd8f59a4c6b3a741e4c5e7eaa88347b9c12be8076c7cbb3580541a55a42a254 SHA512 6b28231665e4af5726799f998b3a4961be631a4b36e732d72d76af1939bec6cc144bcdebf5724c9c216771fb5fecef9549843d5c1ada6c41fb6a9f33b2cc33e2 WHIRLPOOL 6743f753f41be9a59982b993bde9eb4051049759209278a8630ea93686bf55c22a92a09996aa27faab260af7f2b1611cec72b858abeecd26498d12297713e201
+DIST eagle-lin32-7.3.0.run 48599533 SHA256 93428e5cd6938f6a5efccce5f9ca1d2223ba2118868efd810a3fc84caf871232 SHA512 ef25fe7910a89e80b0c4c2d8a8f80269474510d5bd9250c6a2751ac198e7a5b4c687a30d1d784a86196589377ef7df527ff8cfa2a747c4f6e0f85496c3a180ab WHIRLPOOL 9e9654bd55516cc2fa965fbb01156f2cc2c7189744b598a20c048b7b65d51aa0b7e01ebd87769b3124ef1c2e43f3be62477643098a500cf9635426a666d99267
+DIST eagle-lin64-7.3.0.run 48248700 SHA256 2e7d98dc3c03bbd6ff3c10b54001722f57e25f8db8776851beac6fe755c8a7a5 SHA512 bd158b25ed682c63405b90358f82ffcb0903c7f5e5ae81737efcb56b6074a78125de31909c64f31a5f4bab7727c63b6aa0c7af0f6440793010f7f6de3f92f681 WHIRLPOOL e0422a00740182ce434ec7e1453234fc62fb83d20d5e6422b8d19440f6e6338826c0c55cb9995826be34c96885ddbc8b9345ada1e048635e5a793cd3bc81d6a4
diff --git a/sci-electronics/eagle/eagle-5.11.0.ebuild b/sci-electronics/eagle/eagle-5.11.0.ebuild
new file mode 100644
index 000000000000..8fb24fdb7d75
--- /dev/null
+++ b/sci-electronics/eagle/eagle-5.11.0.ebuild
@@ -0,0 +1,95 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit eutils
+
+DESCRIPTION="CadSoft EAGLE schematic and printed circuit board (PCB) layout editor"
+HOMEPAGE="http://www.cadsoft.de"
+
+KEYWORDS="amd64 x86"
+IUSE="doc linguas_de linguas_zh"
+LICENSE="cadsoft"
+RESTRICT="strip test mirror bindist"
+SLOT="0"
+
+SRC_URI="ftp://ftp.cadsoft.de/eagle/program/${PV%\.[0-9]}/${PN}-lin-${PV}.run"
+
+QA_FLAGS_IGNORED="opt/eagle-${PV}/bin/eagle"
+
+RDEPEND="
+ sys-libs/glibc
+ || ( virtual/jpeg:62[abi_x86_32(-)] media-libs/jpeg:62[abi_x86_32(-)] )
+ >=media-libs/libpng-1.2.51:1.2[abi_x86_32(-)]
+ >=dev-libs/openssl-0.9.8z_p1-r2:0.9.8[abi_x86_32(-)]
+ x11-libs/libXext[abi_x86_32(-)]
+ x11-libs/libX11[abi_x86_32(-)]
+ x11-libs/libXau[abi_x86_32(-)]
+ x11-libs/libXdmcp[abi_x86_32(-)]
+"
+
+# Append ${PV} since that's what upstream installs to
+INSTALLDIR="/opt/eagle-${PV}"
+case "${LINGUAS}" in
+ *de*)
+ MY_LANG="de";;
+ *)
+ MY_LANG="en";;
+esac
+# Mandatory documentation being installed
+DOCS="README_${MY_LANG} UPDATE_${MY_LANG} library_${MY_LANG}.txt"
+
+src_unpack() {
+ # Extract the built-in .tar.bz2 file starting at __DATA__
+ sed -e '1,/^__DATA__$/d' "${DISTDIR}/${A}" | tar xj || die "unpacking failed"
+}
+
+src_install() {
+ # Set MY_LANG for this function only since UPDATE_zh and README_zh
+ # don't exist
+ [[ ${LINGUAS} == *zh* ]] && MY_INST_LANG="zh" || MY_INST_LANG="${MY_LANG}"
+
+ dodir ${INSTALLDIR}
+ # Copy all to INSTALLDIR
+ cp -r . "${D}"/${INSTALLDIR} || die
+
+ # Install wrapper (suppressing leading tabs)
+ # see bug #188368 or http://www.cadsoft.de/faq.htm#17040701
+ newbin "${FILESDIR}/eagle_wrapper_script" eagle-${PV}
+ dosym eagle-${PV} /usr/bin/eagle
+ # Finally, append the path of the eagle binary respecting INSTALLDIR and any
+ # arguments passed to the script (thanks Denilson)
+ echo "${INSTALLDIR}/bin/eagle" '"$@"' >> "${D}/usr/bin/eagle-${PV}"
+
+ # Install the documentation
+ cd doc || die
+ dodoc ${DOCS}
+ doman eagle.1
+ # Install extra documentation if requested
+ use doc && dodoc elektro-tutorial.pdf manual_${MY_INST_LANG}.pdf tutorial_${MY_INST_LANG}.pdf
+ # Remove docs left in INSTALLDIR
+ rm -rf "${D}${INSTALLDIR}/doc" || die
+ cd .. || die
+
+ echo -e "ROOTPATH=${INSTALLDIR}/bin\nPRELINK_PATH_MASK=${INSTALLDIR}" > "${S}/90eagle-${PV}"
+ doenvd "${S}/90eagle-${PV}"
+
+ # Create desktop entry
+ mv bin/${PN}icon50.png bin/${PF}-icon50.png || die
+ doicon bin/${PF}-icon50.png
+ make_desktop_entry "${ROOT}/usr/bin/eagle-${PV}" "CadSoft EAGLE Layout Editor" ${PF}-icon50 "Graphics;Electronics"
+}
+
+pkg_postinst() {
+ elog "Run \`env-update && source /etc/profile\` from within \${ROOT}"
+ elog "now to set up the correct paths."
+ elog "You must first run eagle as root to invoke product registration."
+ echo
+ ewarn "Due to some necessary changes in the data structure, once you edit"
+ ewarn "a file with version 5.x you will no longer be able to edit it"
+ ewarn "with versions prior to 5.0!"
+ ewarn
+ ewarn "Please read /usr/share/doc/${PF}/UPDATE_${MY_LANG} if you are upgrading from 4.xx."
+}
diff --git a/sci-electronics/eagle/eagle-5.12.0.ebuild b/sci-electronics/eagle/eagle-5.12.0.ebuild
new file mode 100644
index 000000000000..b379df93a016
--- /dev/null
+++ b/sci-electronics/eagle/eagle-5.12.0.ebuild
@@ -0,0 +1,99 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit eutils
+
+DESCRIPTION="CadSoft EAGLE schematic and printed circuit board (PCB) layout editor"
+HOMEPAGE="http://www.cadsoft.de"
+SRC_URI="ftp://ftp.cadsoft.de/eagle/program/${PV%\.[0-9]}/${PN}-lin-${PV}.run"
+
+KEYWORDS="~amd64 ~x86"
+IUSE="doc linguas_de linguas_zh"
+LICENSE="cadsoft"
+SLOT="0"
+
+QA_PREBUILT="opt/${P}/bin/eagle"
+RESTRICT="mirror bindist"
+
+RDEPEND="
+ sys-libs/glibc
+ || ( virtual/jpeg:62[abi_x86_32(-)] media-libs/jpeg:62[abi_x86_32(-)] )
+ >=media-libs/libpng-1.2.51:1.2[abi_x86_32(-)]
+ >=dev-libs/openssl-0.9.8z_p1-r2:0.9.8[abi_x86_32(-)]
+ >=sys-libs/zlib-1.2.8-r1[abi_x86_32(-)]
+ >=media-libs/freetype-2.5.0.1[abi_x86_32(-)]
+ >=media-libs/fontconfig-2.10.92[abi_x86_32(-)]
+ x11-libs/libXext[abi_x86_32(-)]
+ x11-libs/libX11[abi_x86_32(-)]
+ >=x11-libs/libXrender-0.9.8[abi_x86_32(-)]
+ >=x11-libs/libXrandr-1.4.2[abi_x86_32(-)]
+ >=x11-libs/libXcursor-1.1.14[abi_x86_32(-)]
+ >=x11-libs/libXi-1.7.2[abi_x86_32(-)]
+"
+
+# Append ${PV} since that's what upstream installs to
+INSTALLDIR="/opt/eagle-${PV}"
+case "${LINGUAS}" in
+ *de*)
+ MY_LANG="de";;
+ *)
+ MY_LANG="en";;
+esac
+# Mandatory documentation being installed
+DOCS="README_${MY_LANG} UPDATE_${MY_LANG} library_${MY_LANG}.txt"
+
+src_unpack() {
+ # Extract the built-in .tar.bz2 file starting at __DATA__
+ sed -e '1,/^__DATA__$/d' "${DISTDIR}/${A}" | tar xj || die "unpacking failed"
+}
+
+src_install() {
+ # Set MY_LANG for this function only since UPDATE_zh and README_zh
+ # don't exist
+ [[ ${LINGUAS} == *zh* ]] && MY_INST_LANG="zh" || MY_INST_LANG="${MY_LANG}"
+
+ dodir ${INSTALLDIR}
+ # Copy all to INSTALLDIR
+ cp -r . "${D}"/${INSTALLDIR} || die
+
+ # Install wrapper (suppressing leading tabs)
+ # see bug #188368 or http://www.cadsoft.de/faq.htm#17040701
+ newbin "${FILESDIR}/eagle_wrapper_script" eagle-${PV}
+ dosym eagle-${PV} /usr/bin/eagle
+ # Finally, append the path of the eagle binary respecting INSTALLDIR and any
+ # arguments passed to the script (thanks Denilson)
+ echo "${INSTALLDIR}/bin/eagle" '"$@"' >> "${D}/usr/bin/eagle-${PV}"
+
+ # Install the documentation
+ cd doc || die
+ dodoc ${DOCS}
+ doman eagle.1
+ # Install extra documentation if requested
+ use doc && dodoc elektro-tutorial.pdf manual_${MY_INST_LANG}.pdf tutorial_${MY_INST_LANG}.pdf
+ # Remove docs left in INSTALLDIR
+ rm -rf "${D}${INSTALLDIR}/doc" || die
+ cd .. || die
+
+ echo -e "ROOTPATH=${INSTALLDIR}/bin\nPRELINK_PATH_MASK=${INSTALLDIR}" > "${S}/90eagle-${PV}"
+ doenvd "${S}/90eagle-${PV}"
+
+ # Create desktop entry
+ mv bin/${PN}icon50.png bin/${PF}-icon50.png || die
+ doicon bin/${PF}-icon50.png
+ make_desktop_entry "${ROOT}/usr/bin/eagle-${PV}" "CadSoft EAGLE Layout Editor" ${PF}-icon50 "Graphics;Electronics"
+}
+
+pkg_postinst() {
+ elog "Run \`env-update && source /etc/profile\` from within \${ROOT}"
+ elog "now to set up the correct paths."
+ elog "You must first run eagle as root to invoke product registration."
+ echo
+ ewarn "Due to some necessary changes in the data structure, once you edit"
+ ewarn "a file with version 5.x you will no longer be able to edit it"
+ ewarn "with versions prior to 5.0!"
+ ewarn
+ ewarn "Please read /usr/share/doc/${PF}/UPDATE_${MY_LANG} if you are upgrading from 4.xx."
+}
diff --git a/sci-electronics/eagle/eagle-6.6.0.ebuild b/sci-electronics/eagle/eagle-6.6.0.ebuild
new file mode 100644
index 000000000000..9dbb3322efcf
--- /dev/null
+++ b/sci-electronics/eagle/eagle-6.6.0.ebuild
@@ -0,0 +1,101 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit eutils
+
+DESCRIPTION="CadSoft EAGLE schematic and printed circuit board (PCB) layout editor"
+HOMEPAGE="http://www.cadsoft.de"
+SRC_URI="ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin-${PV}.run"
+
+LICENSE="cadsoft"
+SLOT="0"
+KEYWORDS="~amd64 ~x86 -*"
+IUSE="doc linguas_de linguas_zh"
+
+QA_PREBUILT="opt/eagle/bin/eagle"
+RESTRICT="mirror bindist"
+
+RDEPEND="
+ sys-libs/glibc
+ || ( virtual/jpeg:62[abi_x86_32(-)] media-libs/jpeg:62[abi_x86_32(-)] )
+ >=media-libs/libpng-1.2.51:1.2[abi_x86_32(-)]
+ >=dev-libs/openssl-0.9.8z_p1-r2:0.9.8[abi_x86_32(-)]
+ >=sys-libs/zlib-1.2.8-r1[abi_x86_32(-)]
+ >=media-libs/freetype-2.5.0.1[abi_x86_32(-)]
+ >=media-libs/fontconfig-2.10.92[abi_x86_32(-)]
+ x11-libs/libXext[abi_x86_32(-)]
+ x11-libs/libX11[abi_x86_32(-)]
+ >=x11-libs/libXrender-0.9.8[abi_x86_32(-)]
+ >=x11-libs/libXrandr-1.4.2[abi_x86_32(-)]
+ >=x11-libs/libXcursor-1.1.14[abi_x86_32(-)]
+ >=x11-libs/libXi-1.7.2[abi_x86_32(-)]
+"
+
+# Append ${PV} since that's what upstream installs to
+case "${LINGUAS}" in
+ *de*)
+ MY_LANG="de";;
+ *)
+ MY_LANG="en";;
+esac
+
+src_unpack() {
+ # Extract the built-in .tar.bz2 file starting at __DATA__
+ sed -e '1,/^__DATA__$/d' "${DISTDIR}/${A}" | tar xj || die "unpacking failed"
+}
+
+src_install() {
+ local installdir="/opt/eagle"
+
+ # Set MY_LANG for this function only since UPDATE_zh and README_zh
+ # don't exist
+ [[ ${LINGUAS} == *zh* ]] && MY_INST_LANG="zh" || MY_INST_LANG="${MY_LANG}"
+
+ insinto $installdir
+ doins -r .
+
+ fperms 0755 ${installdir}/bin/eagle
+
+ # Install wrapper (suppressing leading tabs)
+ # see bug #188368 or http://www.cadsoftusa.com/training/faq/#3
+ exeinto /opt/bin
+ newexe "${FILESDIR}/eagle_wrapper_script" eagle
+ # Finally, append the path of the eagle binary respecting $installdir and any
+ # arguments passed to the script (thanks Denilson)
+ echo "${installdir}/bin/eagle" '"$@"' >> "${D}/opt/bin/eagle"
+
+ # Install the documentation
+ cd doc
+ dodoc README_${MY_LANG} UPDATE_${MY_LANG} library_${MY_LANG}.txt
+ doman eagle.1
+
+ # Install extra documentation if requested
+ if use doc; then
+ dodoc {connect-device-split-symbol-${MY_INST_LANG},elektro-tutorial,manual_${MY_INST_LANG},tutorial_${MY_INST_LANG},layer-setup_designrules}.pdf
+ fi
+ # Remove docs left in $installdir
+ rm -rf "${D}${installdir}/doc"
+ cd "${S}"
+
+ echo -e "ROOTPATH=${installdir}/bin\nPRELINK_PATH_MASK=${installdir}" > "${S}/90eagle-${PV}"
+ doenvd "${S}/90eagle-${PV}"
+
+ # Create desktop entry
+ newicon bin/${PN}icon50.png ${PF}-icon50.png
+ make_desktop_entry "${ROOT}/opt/bin/eagle" "CadSoft EAGLE Layout Editor" ${PF}-icon50 "Graphics;Electronics"
+}
+
+pkg_postinst() {
+ elog "Run \`env-update && source /etc/profile\` from within \${ROOT}"
+ elog "now to set up the correct paths."
+ elog "You must first run eagle as root to invoke product registration."
+ echo
+ ewarn "Due to some necessary changes in the data structure, once you edit"
+ ewarn "a file with version 6.x you will no longer be able to edit it"
+ ewarn "with versions prior to 6.0!"
+ ewarn
+ ewarn "Please read /usr/share/doc/${PF}/UPDATE_${MY_LANG} if you are upgrading from 5.xx/4.xx."
+}
diff --git a/sci-electronics/eagle/eagle-7.3.0.ebuild b/sci-electronics/eagle/eagle-7.3.0.ebuild
new file mode 100644
index 000000000000..42f618a3b968
--- /dev/null
+++ b/sci-electronics/eagle/eagle-7.3.0.ebuild
@@ -0,0 +1,130 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit eutils
+
+DESCRIPTION="CadSoft EAGLE schematic and printed circuit board (PCB) layout editor"
+HOMEPAGE="http://www.cadsoft.de"
+SRC_URI="
+ x86? ( ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin32-${PV}.run )
+ amd64? ( ftp://ftp.cadsoft.de/${PN}/program/${PV%\.[0-9]}/${PN}-lin64-${PV}.run )"
+
+LICENSE="cadsoft-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86 -*"
+IUSE="doc linguas_de linguas_zh"
+
+QA_PREBUILT="opt/eagle/bin/eagle"
+RESTRICT="mirror bindist"
+
+RDEPEND="
+ sys-libs/glibc
+ dev-libs/openssl:0
+ >=sys-libs/zlib-1.2.8-r1
+ >=media-libs/freetype-2.5.0.1
+ >=media-libs/fontconfig-2.10.92
+ x11-libs/libXext
+ x11-libs/libX11
+ >=x11-libs/libXrender-0.9.8
+ >=x11-libs/libXrandr-1.4.2
+ >=x11-libs/libXcursor-1.1.14
+ >=x11-libs/libXi-1.7.2
+ net-print/cups
+ x11-libs/libxcb
+"
+
+# Append ${PV} since that's what upstream installs to
+case "${LINGUAS}" in
+ *de*)
+ MY_LANG="de";;
+ *)
+ MY_LANG="en";;
+esac
+
+src_unpack() {
+ # Extract the built-in .tar.bz2 file starting at __DATA__
+ sed -e '1,/^__DATA__$/d' "${DISTDIR}/${A}" | tar xj || die "unpacking failed"
+}
+
+src_install() {
+ local installdir="/opt/eagle"
+
+ # Set MY_LANG for this function only since UPDATE_zh and README_zh
+ # don't exist
+ [[ ${LINGUAS} == *zh* ]] && MY_INST_LANG="zh" || MY_INST_LANG="${MY_LANG}"
+
+ # Install the documentation
+ cd doc/
+
+ local pattern="^((README|UPDATE)_${MY_LANG}|library_${MY_LANG}\.txt)$"
+ for docs in README_* UPDATE_* library_*.txt; do
+ if [[ $docs =~ $pattern ]]; then
+ dodoc $docs
+ fi
+
+ rm -f $docs
+ done
+
+ doman eagle.1
+ rm eagle.1
+
+ # Install extra documentation if requested
+ pattern="^((tutorial|manual|generate-3d-idf-data)_|(connect-device-split-symbol|make-symbol-device-package-bsdl-2011)-)${MY_LANG}.pdf$"
+ if use doc; then
+ cd ulp/
+ for docs in generate-3d-idf-data_*.pdf connect-device-split-symbol-*.pdf make-symbol-device-package-bsdl-2011-*.pdf; do
+ if [[ ! $docs =~ $pattern ]]; then
+ rm $docs
+ fi
+ done
+ cd ../
+
+ for docs in manual_* tutorial_*; do
+ if [[ ! $docs =~ $pattern ]]; then
+ rm $docs
+ fi
+ done
+ else
+ rm {elektro-tutorial,manual_*,tutorial_*,layer-setup_designrules}.pdf
+ rm -rf ulp/
+ fi
+
+ rm -f license*.txt eagle.dtd
+
+ cd "${S}"
+
+ insinto $installdir
+ doins -r .
+
+ fperms 0755 ${installdir}/bin/eagle
+
+ # Install wrapper (suppressing leading tabs)
+ # see bug #188368 or http://www.cadsoftusa.com/training/faq/#3
+ exeinto /opt/bin
+ newexe "${FILESDIR}/eagle_wrapper_script" eagle
+ # Finally, append the path of the eagle binary respecting $installdir and any
+ # arguments passed to the script (thanks Denilson)
+ echo "${installdir}/bin/eagle" '"$@"' >> "${D}/opt/bin/eagle"
+
+ echo -e "ROOTPATH=${installdir}/bin\nPRELINK_PATH_MASK=${installdir}" > "${S}/90eagle-${PV}"
+ doenvd "${S}/90eagle-${PV}"
+
+ # Create desktop entry
+ newicon bin/${PN}icon50.png ${PF}-icon50.png
+ make_desktop_entry "${ROOT}/opt/bin/eagle" "CadSoft EAGLE Layout Editor" ${PF}-icon50 "Graphics;Electronics"
+}
+
+pkg_postinst() {
+ elog "Run \`env-update && source /etc/profile\` from within \${ROOT}"
+ elog "now to set up the correct paths."
+ elog "You must first run eagle as root to invoke product registration."
+ echo
+ ewarn "Due to some necessary changes in the data structure, once you edit"
+ ewarn "a file with version 7.x you will no longer be able to edit it"
+ ewarn "with versions prior to 7.0!"
+ ewarn
+ ewarn "Please read /usr/share/doc/${PF}/UPDATE_${MY_LANG} if you are upgrading from a version prior 7.x!"
+}
diff --git a/sci-electronics/eagle/files/eagle_wrapper_script b/sci-electronics/eagle/files/eagle_wrapper_script
new file mode 100644
index 000000000000..5a20922893c6
--- /dev/null
+++ b/sci-electronics/eagle/files/eagle_wrapper_script
@@ -0,0 +1,8 @@
+#!/bin/bash
+
+# From http://www.cadsoft.de/faq.htm#17040701
+# Work around problems with the Composite X extension
+export XLIB_SKIP_ARGB_VISUALS=1
+
+# The ebuild will append the final line respecting INSTALLDIR
+# Default is /opt/eagle/bin/eagle "$@"
diff --git a/sci-electronics/eagle/metadata.xml b/sci-electronics/eagle/metadata.xml
new file mode 100644
index 000000000000..e99012ea5f3c
--- /dev/null
+++ b/sci-electronics/eagle/metadata.xml
@@ -0,0 +1,17 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <maintainer>
+ <email>idl0r@gentoo.org</email>
+ <name>Christian Ruppert</name>
+ </maintainer>
+ <longdescription>EAGLE (Easily Applicable Graphical Layout Editor)
+ is a powerful tool for creating printed circuit boards (PCBs).
+ It requires a 586 (or higher), kernel 2.x (or higher), and
+ X11 in at least 8bpp mode with 1024x768 min. resolution.
+ The freeware edition limits the useable board area to
+ 100x80 mm, two signal layers, and one sheet in the
+ schematic editor.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/electric/Manifest b/sci-electronics/electric/Manifest
new file mode 100644
index 000000000000..5ad897a679cd
--- /dev/null
+++ b/sci-electronics/electric/Manifest
@@ -0,0 +1,6 @@
+DIST electricBinary-8.09.jar 11102701 SHA256 d214868e8df9f4d50b09a23f6ac86b3e8cfb28cb1f735af3e860822c9d60c391
+DIST electricBinary-8.10.jar 11933511 SHA256 290238c77b6823f286adb08ef21fccc8b40e7721e95b9d0489014b5f7eab8fbd
+DIST electricBinary-8.11.jar 12144732 SHA256 203dac811566505cc222d3bd4926d6c6481d4a66af3093ad7e2ca8b16e65fb81
+DIST electricBinary-9.00.jar 19427434 SHA256 7fbf086e9fea4681a3c754bee07dd83beaba85963ddd35ae1a75a5a6ed6b0b0e SHA512 f392c30b673222f18ff7145e0601be36e229e0da72cfdcf62072894054b5311c3be4726201f8d79ddced84ba47e972884859a652b4f0edbca3fccab0c14dc001 WHIRLPOOL 7f0958d3d4a5c54266ca3948ae7545718040f45cece861da8f8728413341418244ae0d04f2b3db8e88209f8d4a41cd272589038b25ac761dfc07daee59552950
+DIST electricBinary-9.02.jar 23429359 SHA256 107ee1ffc1d5e0236852c728265f434043036802703f05c22789c76931b95f97 SHA512 3c4eab21865bfabb744ab227fb0344552fae7291fbf3c043903ee919fd80238ec9674e3535ac80caab9bf3fa962ec45ca21378629d5d68d470c53849e8ded471 WHIRLPOOL e06d7dd647a98ca5eb1d54bff7e153999c68096cbf7939523520cb794479556687c539ca9509ab903772b5551d6a9a7cb24b1961ca894666f4b57d6d98e12dd4
+DIST electricBinary-9.03.jar 23591444 SHA256 ccd4ea6b9b2d11870e181c951f5393e9c3eb5d881dde5588bb090610d99bcff6 SHA512 e4430c87e1c0d335341057950b887988f46bd222ab6c2d989312036592f16db0b51169f3affc01fe0dbd8077674ed934d4896de46a0f9ee00e71453b2a6b18f2 WHIRLPOOL 9d886fa32533e3ebb2504906ffdfdc9610c1bae77db66ec2a0279ebaebbb94e04506e229d77c4d22d918059781be17c1ae215415b47e0874d0116ea8138e1eb7
diff --git a/sci-electronics/electric/electric-8.09.ebuild b/sci-electronics/electric/electric-8.09.ebuild
new file mode 100644
index 000000000000..f8f94e1b4505
--- /dev/null
+++ b/sci-electronics/electric/electric-8.09.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2010 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils java-pkg-2
+
+DESCRIPTION="Complete Electronic Design Automation (EDA) system that can handle many forms of circuit design"
+HOMEPAGE="http://www.gnu.org/software/electric/electric.html"
+SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE=""
+
+RDEPEND=">=virtual/jre-1.6
+ sci-electronics/electronics-menu"
+DEPEND="app-arch/unzip"
+
+S=${WORKDIR}
+
+src_install() {
+ java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
+ newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png
+ java-pkg_dolauncher ${PN}
+ make_desktop_entry electric "Electric VLSI Design System" electric "Electronics"
+}
diff --git a/sci-electronics/electric/electric-8.10.ebuild b/sci-electronics/electric/electric-8.10.ebuild
new file mode 100644
index 000000000000..70ee6f82f07d
--- /dev/null
+++ b/sci-electronics/electric/electric-8.10.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2010 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils java-pkg-2
+
+DESCRIPTION="Complete Electronic Design Automation (EDA) system that can handle many forms of circuit design"
+HOMEPAGE="http://www.gnu.org/software/electric/electric.html"
+SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+RDEPEND=">=virtual/jre-1.6
+ sci-electronics/electronics-menu"
+DEPEND="app-arch/unzip"
+
+S=${WORKDIR}
+
+src_install() {
+ java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
+ newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png
+ java-pkg_dolauncher ${PN}
+ make_desktop_entry electric "Electric VLSI Design System" electric "Electronics"
+}
diff --git a/sci-electronics/electric/electric-8.11.ebuild b/sci-electronics/electric/electric-8.11.ebuild
new file mode 100644
index 000000000000..70ee6f82f07d
--- /dev/null
+++ b/sci-electronics/electric/electric-8.11.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2010 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils java-pkg-2
+
+DESCRIPTION="Complete Electronic Design Automation (EDA) system that can handle many forms of circuit design"
+HOMEPAGE="http://www.gnu.org/software/electric/electric.html"
+SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+RDEPEND=">=virtual/jre-1.6
+ sci-electronics/electronics-menu"
+DEPEND="app-arch/unzip"
+
+S=${WORKDIR}
+
+src_install() {
+ java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
+ newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png
+ java-pkg_dolauncher ${PN}
+ make_desktop_entry electric "Electric VLSI Design System" electric "Electronics"
+}
diff --git a/sci-electronics/electric/electric-9.00.ebuild b/sci-electronics/electric/electric-9.00.ebuild
new file mode 100644
index 000000000000..70ee6f82f07d
--- /dev/null
+++ b/sci-electronics/electric/electric-9.00.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2010 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils java-pkg-2
+
+DESCRIPTION="Complete Electronic Design Automation (EDA) system that can handle many forms of circuit design"
+HOMEPAGE="http://www.gnu.org/software/electric/electric.html"
+SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+RDEPEND=">=virtual/jre-1.6
+ sci-electronics/electronics-menu"
+DEPEND="app-arch/unzip"
+
+S=${WORKDIR}
+
+src_install() {
+ java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
+ newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png
+ java-pkg_dolauncher ${PN}
+ make_desktop_entry electric "Electric VLSI Design System" electric "Electronics"
+}
diff --git a/sci-electronics/electric/electric-9.02.ebuild b/sci-electronics/electric/electric-9.02.ebuild
new file mode 100644
index 000000000000..5d150171e2d7
--- /dev/null
+++ b/sci-electronics/electric/electric-9.02.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils java-pkg-2
+
+DESCRIPTION="Complete Electronic Design Automation (EDA) system that can handle many forms of circuit design"
+HOMEPAGE="http://www.gnu.org/software/electric/electric.html"
+SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE=""
+
+RDEPEND=">=virtual/jre-1.6
+ sci-electronics/electronics-menu"
+DEPEND="app-arch/unzip"
+
+S=${WORKDIR}
+
+src_install() {
+ java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
+ newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png
+ java-pkg_dolauncher ${PN}
+ make_desktop_entry electric "Electric VLSI Design System" electric "Electronics"
+}
diff --git a/sci-electronics/electric/electric-9.03.ebuild b/sci-electronics/electric/electric-9.03.ebuild
new file mode 100644
index 000000000000..2f5301d80efe
--- /dev/null
+++ b/sci-electronics/electric/electric-9.03.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils java-pkg-2
+
+DESCRIPTION="Complete Electronic Design Automation (EDA) system that can handle many forms of circuit design"
+HOMEPAGE="http://www.gnu.org/software/electric/electric.html"
+SRC_URI="mirror://gnu/electric/${PN}Binary-${PV}.jar"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+RDEPEND=">=virtual/jre-1.6
+ sci-electronics/electronics-menu"
+DEPEND="app-arch/unzip"
+
+S=${WORKDIR}
+
+src_install() {
+ java-pkg_newjar "${DISTDIR}"/${PN}Binary-${PV}.jar
+ newicon com/sun/electric/tool/user/help/helphtml/iconplug.png electric.png
+ java-pkg_dolauncher ${PN}
+ make_desktop_entry electric "Electric VLSI Design System" electric "Electronics"
+}
diff --git a/sci-electronics/electric/files/7.00-fix-sandbox.patch b/sci-electronics/electric/files/7.00-fix-sandbox.patch
new file mode 100644
index 000000000000..29c36543126e
--- /dev/null
+++ b/sci-electronics/electric/files/7.00-fix-sandbox.patch
@@ -0,0 +1,11 @@
+--- Makefile.in 2004-12-03 16:27:37.000000000 +0100
++++ Makefile.in.new 2004-12-03 16:38:28.203830495 +0100
+@@ -936,7 +936,7 @@
+ rm -f src/vhdl/*~ src/vhdl/"#"*"#"
+
+ install: all
+- $(INSTALL) -d -m755 @bindir@ $(DESTDIR)@datadir@/electric/lib
++ $(INSTALL) -d -m755 ${DESTDIR}/@bindir@ $(DESTDIR)@datadir@/electric/lib
+ $(INSTALL) -m755 $(PROGNAME) $(DESTDIR)@bindir@/$(PROGNAME)
+ $(INSTALL) -m644 lib/.cadrc $(DESTDIR)@datadir@/electric/lib
+ @(cd lib ; \
diff --git a/sci-electronics/electric/files/7.00-qt.patch b/sci-electronics/electric/files/7.00-qt.patch
new file mode 100644
index 000000000000..137f1e943642
--- /dev/null
+++ b/sci-electronics/electric/files/7.00-qt.patch
@@ -0,0 +1,35 @@
+--- Makefile.in.oric 2004-03-15 10:31:14.000000000 +1030
++++ Makefile.in 2004-03-15 10:39:14.000000000 +1030
+@@ -1,10 +1,10 @@
+ ########################################################## USING QT
+ ##### If using Qt, uncomment these five lines
+-# GRAPH_FLAGS = -DUSEQT -DQT_THREAD_SUPPORT -I$(QTDIR)/include $(QUI_CFLAGS)
+-# GRAPH_LIBS = -L$(QTDIR)/lib $(QUI_LIBS) $(QT_LIB_EXTRAS)
+-# GRAPH_OBJS = $(GRAPH_OBJS_QT)
+-# GRAPH_SRC = $(GRAPH_SRC_QT)
+-# CCOMPILER = @CXX@
++GRAPH_FLAGS = -DUSEQT -DQT_THREAD_SUPPORT -I$(QTDIR)/include $(QUI_CFLAGS)
++GRAPH_LIBS = -L$(QTDIR)/lib $(QUI_LIBS) $(QT_LIB_EXTRAS)
++GRAPH_OBJS = $(GRAPH_OBJS_QT)
++GRAPH_SRC = $(GRAPH_SRC_QT)
++CCOMPILER = @CXX@
+
+ ##### Qt on UNIX/Linux: uncomment the next two lines:
+ ELECTRIC_TARGET = electric-target-unix
+@@ -20,11 +20,11 @@
+
+ ########################################################### USING MOTIF
+ ##### If using Motif (or OpenMotif/Lesstif), uncomment these five lines
+-GRAPH_FLAGS = @X_CFLAGS@
+-GRAPH_LIBS = -lXm @XMULIB@ -lXt @XPLIB@ @XEXTLIB@ -lX11
+-GRAPH_OBJS = $(GRAPH_OBJS_XT)
+-GRAPH_SRC = $(GRAPH_SRC_XT)
+-CCOMPILER = @PTHREAD_CC@
++#GRAPH_FLAGS = @X_CFLAGS@
++#GRAPH_LIBS = -lXm @XMULIB@ -lXt @XPLIB@ @XEXTLIB@ -lX11
++#GRAPH_OBJS = $(GRAPH_OBJS_XT)
++#GRAPH_SRC = $(GRAPH_SRC_XT)
++#CCOMPILER = @PTHREAD_CC@
+
+ ##### For the general-purpose X facility, uncomment this line
+ XPOWER = -DANYDEPTH
diff --git a/sci-electronics/electric/files/electric-7.00-gcc4.1-gentoo.patch b/sci-electronics/electric/files/electric-7.00-gcc4.1-gentoo.patch
new file mode 100644
index 000000000000..b2e678dfbcf3
--- /dev/null
+++ b/sci-electronics/electric/files/electric-7.00-gcc4.1-gentoo.patch
@@ -0,0 +1,11 @@
+diff -Naur electric-7.00/src/graph/graphqtdlg.h electric-7.00-new/src/graph/graphqtdlg.h
+--- electric-7.00/src/graph/graphqtdlg.h 2004-07-15 20:59:53.000000000 -0500
++++ electric-7.00-new/src/graph/graphqtdlg.h 2006-03-15 22:11:39.000000000 -0600
+@@ -59,6 +59,7 @@
+ class QSignalMapper;
+ class EScrollField;
+ class EDialogPrivate;
++class EDialog;
+
+ class EDialogPrivate: public QDialog
+ {
diff --git a/sci-electronics/electric/files/electric-7.00-qt-gentoo.patch b/sci-electronics/electric/files/electric-7.00-qt-gentoo.patch
new file mode 100644
index 000000000000..f21c4c5e577a
--- /dev/null
+++ b/sci-electronics/electric/files/electric-7.00-qt-gentoo.patch
@@ -0,0 +1,48 @@
+diff -Naur electric-7.00/Makefile.in electric-7.00-new/Makefile.in
+--- electric-7.00/Makefile.in 2004-07-15 20:59:50.000000000 -0500
++++ electric-7.00-new/Makefile.in 2006-03-15 22:19:08.000000000 -0600
+@@ -1,10 +1,10 @@
+ ########################################################## USING QT
+ ##### If using Qt, uncomment these five lines
+-# GRAPH_FLAGS = -DUSEQT -DQT_THREAD_SUPPORT -I$(QTDIR)/include $(QUI_CFLAGS)
+-# GRAPH_LIBS = -L$(QTDIR)/lib $(QUI_LIBS) $(QT_LIB_EXTRAS)
+-# GRAPH_OBJS = $(GRAPH_OBJS_QT)
+-# GRAPH_SRC = $(GRAPH_SRC_QT)
+-# CCOMPILER = @CXX@
++GRAPH_FLAGS = -DUSEQT -DQT_THREAD_SUPPORT -I$(QTDIR)/include $(QUI_CFLAGS)
++GRAPH_LIBS = -L$(QTDIR)/lib $(QUI_LIBS) $(QT_LIB_EXTRAS)
++GRAPH_OBJS = $(GRAPH_OBJS_QT)
++GRAPH_SRC = $(GRAPH_SRC_QT)
++CCOMPILER = @CXX@
+
+ ##### Qt on UNIX/Linux: uncomment the next two lines:
+ ELECTRIC_TARGET = electric-target-unix
+@@ -20,11 +20,11 @@
+
+ ########################################################### USING MOTIF
+ ##### If using Motif (or OpenMotif/Lesstif), uncomment these five lines
+-GRAPH_FLAGS = @X_CFLAGS@
+-GRAPH_LIBS = -lXm @XMULIB@ -lXt @XPLIB@ @XEXTLIB@ -lX11
+-GRAPH_OBJS = $(GRAPH_OBJS_XT)
+-GRAPH_SRC = $(GRAPH_SRC_XT)
+-CCOMPILER = @PTHREAD_CC@
++#GRAPH_FLAGS = @X_CFLAGS@
++#GRAPH_LIBS = -lXm @XMULIB@ -lXt @XPLIB@ @XEXTLIB@ -lX11
++#GRAPH_OBJS = $(GRAPH_OBJS_XT)
++#GRAPH_SRC = $(GRAPH_SRC_XT)
++#CCOMPILER = @PTHREAD_CC@
+
+ ##### For the general-purpose X facility, uncomment this line
+ XPOWER = -DANYDEPTH
+@@ -997,9 +997,9 @@
+ src/graph/graphqtdlg.o: src/graph/graphqtdlg.cpp $(HDS)/global.h src/graph/graphqtdlg.h $(HDS)/edialogs.h
+ src/graph/graphqtdraw.o: src/graph/graphqtdraw.cpp $(HDS)/global.h src/graph/graphqt.h $(HDS)/egraphics.h
+ src/graph/moc_graphqt.cpp: src/graph/graphqt.h $(HDS)/global.h
+- moc src/graph/graphqt.h -o $@
++ $(QTDIR)/bin/moc src/graph/graphqt.h -o $@
+ src/graph/moc_graphqtdlg.cpp: src/graph/graphqtdlg.h $(HDS)/global.h
+- moc src/graph/graphqtdlg.h -o $@
++ $(QTDIR)/bin/moc src/graph/graphqtdlg.h -o $@
+ $(JARLOC): $(JAVA_OBJS)
+ (cd src/java; jar -cf ../../$(JARLOC) com/sun/dbmirror/*.class)
+ lib/java/romgen.class: lib/java/romgen.java
diff --git a/sci-electronics/electric/metadata.xml b/sci-electronics/electric/metadata.xml
new file mode 100644
index 000000000000..75917fdaf7da
--- /dev/null
+++ b/sci-electronics/electric/metadata.xml
@@ -0,0 +1,14 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ The Electric VLSI Design System is a complete Electronic Design Automation
+ (EDA) system that can handle many forms of circuit design, including:
+ * Custom IC layout
+ * Schematic Capture (digital and analog)
+ * Textual Languages such as VHDL and Verilog
+ * Programmable logic (FPGAs)
+ * ...and much more.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/electronics-menu/Manifest b/sci-electronics/electronics-menu/Manifest
new file mode 100644
index 000000000000..cafff23ece95
--- /dev/null
+++ b/sci-electronics/electronics-menu/Manifest
@@ -0,0 +1 @@
+DIST electronics-menu-1.0.tar.gz 12284 SHA256 b2ca878cebbafc0eda7ef1b88aff9ac83a8e1b92781b30610dd58a5b8b35b320 SHA512 c4619d6dfd0641da772a7026af50b0ba1ededee6a5be84ede1c058671764f8c9a7d55e44a018f6bd42bbef0a29d513df5e9056a9a345999a2493fa62d2300b0a WHIRLPOOL 07bb5d1929edba9e6f0b5290f3297e439a4a01e9d953bb6f1cde89de06c6cf495cf9b38b1fda1b572c27fa03581d472d5cb83f0408857a7606dd18c26a7a9e5b
diff --git a/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild b/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild
new file mode 100644
index 000000000000..f34b53a54c3b
--- /dev/null
+++ b/sci-electronics/electronics-menu/electronics-menu-1.0-r1.ebuild
@@ -0,0 +1,36 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit gnome2-utils
+
+DESCRIPTION="Creates an \"Electronics\" desktop menu"
+HOMEPAGE="http://www.gpleda.org/"
+SRC_URI="http://geda.seul.org/dist/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~ppc64 ~sparc ~x86 ~x86-macos"
+IUSE=""
+
+DEPEND=""
+RDEPEND=""
+
+src_install() {
+ emake DESTDIR="${ED}" install
+ dodoc README
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/electronics-menu/electronics-menu-1.0.ebuild b/sci-electronics/electronics-menu/electronics-menu-1.0.ebuild
new file mode 100644
index 000000000000..3e1da3ca7ce0
--- /dev/null
+++ b/sci-electronics/electronics-menu/electronics-menu-1.0.ebuild
@@ -0,0 +1,36 @@
+# Copyright 1999-2010 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="3"
+
+inherit gnome2-utils
+
+DESCRIPTION="Creates an \"Electronics\" desktop menu"
+HOMEPAGE="http://www.gpleda.org/"
+SRC_URI="http://geda.seul.org/dist/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc ppc64 sparc x86 ~x86-macos"
+IUSE=""
+
+DEPEND=""
+RDEPEND=""
+
+src_install() {
+ emake DESTDIR="${ED}" install || die "emake install failed"
+ dodoc README
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/electronics-menu/metadata.xml b/sci-electronics/electronics-menu/metadata.xml
new file mode 100644
index 000000000000..6c07ad627655
--- /dev/null
+++ b/sci-electronics/electronics-menu/metadata.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Create a dedicated "Electronics" entry in the top-menu of your XDG-compliant
+ Desktop Environment (Gnome, KDE, etc...), so that you electronics
+ applications don't get stuck in "Education" anymore.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/espresso-ab/Manifest b/sci-electronics/espresso-ab/Manifest
new file mode 100644
index 000000000000..73d65fc44783
--- /dev/null
+++ b/sci-electronics/espresso-ab/Manifest
@@ -0,0 +1 @@
+DIST espresso-ab-1.0.tar.gz 146662 SHA256 788a47b64258b8371e138c267da6043be324d49058462efe2f6870515bfd5479 SHA512 360bdf3c9d2cc552e9af6e9e0ac543e96c496f182a1f8eadf8925aed878425a158a10672feaa50e970c0df5fe7a754b0c7496c5d7f6f729b27f34a7cdf0ca12f WHIRLPOOL 152573410ad01f2a9d4227ab9a039d2ed995439343f12c9ceefb425bae9c5c08b0c4741d966922f22e8dd3cb34969b23717d5d34f6d3e287c36b5dcc6700d2b0
diff --git a/sci-electronics/espresso-ab/espresso-ab-1.0-r1.ebuild b/sci-electronics/espresso-ab/espresso-ab-1.0-r1.ebuild
new file mode 100644
index 000000000000..1636cd7e4ee2
--- /dev/null
+++ b/sci-electronics/espresso-ab/espresso-ab-1.0-r1.ebuild
@@ -0,0 +1,16 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+DESCRIPTION="POSIX compliant version of the espresso logic minimization tool"
+HOMEPAGE="http://www.cs.man.ac.uk/apt/projects/balsa/"
+SRC_URI="ftp://ftp.cs.man.ac.uk/pub/amulet/balsa/other-software/${P}.tar.gz"
+
+LICENSE="BSD"
+SLOT="0"
+KEYWORDS="amd64 ~ppc ~sparc x86"
+IUSE=""
+
+DOCS="README"
diff --git a/sci-electronics/espresso-ab/metadata.xml b/sci-electronics/espresso-ab/metadata.xml
new file mode 100644
index 000000000000..2943e6bcb92a
--- /dev/null
+++ b/sci-electronics/espresso-ab/metadata.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ POSIX compliant version of the espresso logic minimization tool.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/freehdl/Manifest b/sci-electronics/freehdl/Manifest
new file mode 100644
index 000000000000..a418c041a749
--- /dev/null
+++ b/sci-electronics/freehdl/Manifest
@@ -0,0 +1 @@
+DIST freehdl-0.0.7.tar.gz 1394351 SHA256 b12f2066d856d03e8abc0d93d6ba566b9c55b18e60d47614106c64030324d2de
diff --git a/sci-electronics/freehdl/freehdl-0.0.7.ebuild b/sci-electronics/freehdl/freehdl-0.0.7.ebuild
new file mode 100644
index 000000000000..735af323bb2a
--- /dev/null
+++ b/sci-electronics/freehdl/freehdl-0.0.7.ebuild
@@ -0,0 +1,23 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+DESCRIPTION="A free VHDL simulator"
+SRC_URI="http://freehdl.seul.org/~enaroska/${P}.tar.gz"
+HOMEPAGE="http://freehdl.seul.org/"
+LICENSE="GPL-2"
+
+CDEPEND="dev-lang/perl"
+DEPEND="${CDEPEND}
+ virtual/pkgconfig"
+RDEPEND="${CDEPEND}
+ >=dev-scheme/guile-1.3.1"
+
+SLOT="0"
+IUSE=""
+KEYWORDS="~amd64 ~ppc ~x86"
+
+src_install () {
+ make DESTDIR="${D}" install || die "installation failed"
+ dodoc AUTHORS ChangeLog HACKING NEWS README*
+}
diff --git a/sci-electronics/freehdl/metadata.xml b/sci-electronics/freehdl/metadata.xml
new file mode 100644
index 000000000000..b8b55fb63800
--- /dev/null
+++ b/sci-electronics/freehdl/metadata.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Free, open source, GPL'ed VHDL simulator. The project goal is to develop a
+ VHDL simulator that :
+ * Has a graphical waveform viewer.
+ * Has a source level debugger.
+ * Is VHDL-93 compliant.
+ * Is of commercial quality. (on par with, say, V-System - it'll take us a
+ while to get there, but that should be our aim)
+ * Is freely distributable - both source and binaries - like Linux itself.
+ (Under the Gnu General Public License (GPL)).
+ * Works with Linux. If others want to port it to other platforms they may,
+ but it is not the goal of this project.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/fritzing/Manifest b/sci-electronics/fritzing/Manifest
new file mode 100644
index 000000000000..a37247aeea36
--- /dev/null
+++ b/sci-electronics/fritzing/Manifest
@@ -0,0 +1,2 @@
+DIST fritzing-0.8.7b.source.tar.bz2 20007914 SHA256 7d74418863a9e3b3a4eed171b8023ddea426125f08dc83ed4c55a05d39948eb4 SHA512 f45b2d4c62227ae7bf10a481775542ce5eba1ed3d9ea45e16b60517113e2af2762a8cf1155240c7242dc79886b693319e335891fa633aa41e4699ab916a7f9e9 WHIRLPOOL 385979f6edbcb5816e5c5e0872cf74e1bdd54885af4779fdbfd29e60ed8b3349265375a74968c3bae2827e5b28897b05f3f7f36a48f8f709cc0e85a2987ded16
+DIST fritzing-0.9.2b.tar.gz 10913985 SHA256 6e9dbb94a4ca5e23effc2056f471c78913b9efa4c154ced94de8f72bfe29735f SHA512 a8b6d99c4e08f86d7a7cc6574053e8b1af565a3919cb2fc406e67972052b3fa3ded002be43e4fd0345189e4e1f3cecb3851cd14711efd83ccbcbef4cbd135c58 WHIRLPOOL 70a3e310ee8f1e53e63ca7a56b9f93808e5576b8ce0995cca470a787840e5cebad7e2b357148773610971dc2db777d581a9e62bbf94fedfbd104960bab9436f6
diff --git a/sci-electronics/fritzing/fritzing-0.8.7b.ebuild b/sci-electronics/fritzing/fritzing-0.8.7b.ebuild
new file mode 100644
index 000000000000..911c1b6053a0
--- /dev/null
+++ b/sci-electronics/fritzing/fritzing-0.8.7b.ebuild
@@ -0,0 +1,57 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit eutils qt4-r2
+
+DESCRIPTION="Electronic Design Automation"
+HOMEPAGE="http://fritzing.org/"
+SRC_URI="http://fritzing.org/download/${PV}/source-tarball/${P}.source.tar.bz2"
+
+LICENSE="CC-BY-SA-3.0 GPL-2 GPL-3"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="dev-qt/qtcore:4
+ dev-qt/qtgui:4
+ dev-qt/qtsvg:4
+ dev-qt/qtsql:4[sqlite]
+ dev-libs/quazip"
+DEPEND="${RDEPEND}
+ >=dev-libs/boost-1.40"
+
+S="${WORKDIR}/${P}.source"
+
+src_prepare() {
+ local translations=
+
+ qt4-r2_src_prepare
+
+ # Get a rid of the bundled libs
+ # Bug 412555 and
+ # https://code.google.com/p/fritzing/issues/detail?id=1898
+ rm -rf src/lib/quazip/ pri/quazip.pri src/lib/boost*
+
+ # Fritzing doesn't need zlib
+ sed -i -e 's:LIBS += -lz::' -e 's:-lminizip::' phoenix.pro || die
+
+ edos2unix ${PN}.desktop
+
+ # Somewhat evil but IMHO the best solution
+ for lang in $LINGUAS; do
+ lang=${lang/linguas_}
+ [ -f "translations/${PN}_${lang}.qm" ] && translations+=" translations/${PN}_${lang}.qm"
+ done
+ if [ -n "${translations}" ]; then
+ sed -i -e "s:\(translations.extra =\) .*:\1 cp -p ${translations} \$(INSTALL_ROOT)\$\$PKGDATADIR/translations\r:" phoenix.pro || die
+ else
+ sed -i -e "s:translations.extra = .*:\r:" phoenix.pro || die
+ fi
+}
+
+src_configure() {
+ eqmake4 DEFINES=QUAZIP_INSTALLED phoenix.pro
+}
diff --git a/sci-electronics/fritzing/fritzing-0.9.2b.ebuild b/sci-electronics/fritzing/fritzing-0.9.2b.ebuild
new file mode 100644
index 000000000000..52256e3b3c0c
--- /dev/null
+++ b/sci-electronics/fritzing/fritzing-0.9.2b.ebuild
@@ -0,0 +1,61 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit qmake-utils
+
+DESCRIPTION="Electronic Design Automation"
+HOMEPAGE="http://fritzing.org/"
+SRC_URI="https://github.com/fritzing/fritzing-app/archive/${PV}.tar.gz -> ${P}.tar.gz"
+
+LICENSE="CC-BY-SA-3.0 GPL-2 GPL-3"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="dev-qt/qtconcurrent:5
+ dev-qt/qtcore:5
+ dev-qt/qtgui:5
+ dev-qt/qtnetwork:5
+ dev-qt/qtprintsupport:5
+ dev-qt/qtserialport:5
+ dev-qt/qtsql:5[sqlite]
+ dev-qt/qtsvg:5
+ dev-qt/qtwidgets:5
+ dev-qt/qtxml:5
+ dev-libs/quazip"
+DEPEND="${RDEPEND}
+ >=dev-libs/boost-1.40"
+
+S="${WORKDIR}/${PN}-app-${PV}"
+
+src_prepare() {
+ local translations=
+
+ # Get a rid of the bundled libs
+ # Bug 412555 and
+ # https://code.google.com/p/fritzing/issues/detail?id=1898
+ rm -rf src/lib/quazip/ pri/quazip.pri src/lib/boost*
+
+ # Fritzing doesn't need zlib
+ sed -i -e 's:LIBS += -lz::' -e 's:-lminizip::' phoenix.pro || die
+
+ edos2unix ${PN}.desktop
+
+ # Somewhat evil but IMHO the best solution
+ for lang in $LINGUAS; do
+ lang=${lang/linguas_}
+ [ -f "translations/${PN}_${lang}.qm" ] && translations+=" translations/${PN}_${lang}.qm"
+ done
+ if [ -n "${translations}" ]; then
+ sed -i -e "s:\(translations.extra =\) .*:\1 cp -p ${translations} \$(INSTALL_ROOT)\$\$PKGDATADIR/translations\r:" phoenix.pro || die
+ else
+ sed -i -e "s:translations.extra = .*:\r:" phoenix.pro || die
+ fi
+}
+
+src_configure() {
+ eqmake5 DEFINES=QUAZIP_INSTALLED PREFIX="${D}"/usr phoenix.pro
+}
diff --git a/sci-electronics/fritzing/metadata.xml b/sci-electronics/fritzing/metadata.xml
new file mode 100644
index 000000000000..922de4e32044
--- /dev/null
+++ b/sci-electronics/fritzing/metadata.xml
@@ -0,0 +1,16 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <maintainer>
+ <email>idl0r@gentoo.org</email>
+ <name>Christian Ruppert</name>
+ </maintainer>
+ <maintainer>
+ <email>mrueg@gentoo.org</email>
+ <name>Manuel Rüger</name>
+ <description>CC him on bugs.</description>
+ </maintainer>
+ <upstream>
+ <remote-id type="github">fritzing/fritzing-app</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/gazebo/Manifest b/sci-electronics/gazebo/Manifest
new file mode 100644
index 000000000000..260d3567496c
--- /dev/null
+++ b/sci-electronics/gazebo/Manifest
@@ -0,0 +1 @@
+DIST gazebo5_5.1.0.tar.bz2 49544340 SHA256 eac72ca2633deb7ea0f5e775c1208f0743d98c8b53083607df77bae6e38ab0b1 SHA512 d3222d9d98cc9d1c52bc1bb24e12ece7ff8d8506782f9cd2d574a1aca8556e33d93781f4d2c91eacfe317a35c7cddfa2c4a4fdb58da1f348142f6a887de3c981 WHIRLPOOL 5e9e01195b02581d684ee0c5e30f9ace0210a7b61a59a63b7a3c01c8c672230ad026f998ed93583eafb9b640c73c9df350e578a774d9579fdb8574570fa0bd94
diff --git a/sci-electronics/gazebo/files/bullet_283.patch b/sci-electronics/gazebo/files/bullet_283.patch
new file mode 100644
index 000000000000..cc1649b10cd3
--- /dev/null
+++ b/sci-electronics/gazebo/files/bullet_283.patch
@@ -0,0 +1,41 @@
+# HG changeset patch
+# User Steve Peters <scpeters@osrfoundation.org>
+# Date 1430782295 25200
+# Branch issue_1074
+# Node ID 05b7ee5db6f524e19b6cca54d66208cb48a0854c
+# Parent 2cbd32c6246166f6f50af3503553180e7c1cd4a4
+Fix build when compiled against bullet 2.83
+
+diff --git a/gazebo/physics/bullet/BulletHinge2Joint.cc b/gazebo/physics/bullet/BulletHinge2Joint.cc
+--- a/gazebo/physics/bullet/BulletHinge2Joint.cc
++++ b/gazebo/physics/bullet/BulletHinge2Joint.cc
+@@ -211,8 +211,12 @@
+ return math::Angle();
+ }
+
+- btRotationalLimitMotor *motor =
+- this->bulletHinge2->getRotationalLimitMotor(_index);
++#ifndef LIBBULLET_VERSION_GT_282
++ btRotationalLimitMotor
++#else
++ btRotationalLimitMotor2
++#endif
++ *motor = this->bulletHinge2->getRotationalLimitMotor(_index);
+ if (motor)
+ return motor->m_hiLimit;
+
+@@ -229,8 +233,12 @@
+ return math::Angle(0.0);
+ }
+
+- btRotationalLimitMotor *motor =
+- this->bulletHinge2->getRotationalLimitMotor(_index);
++#ifndef LIBBULLET_VERSION_GT_282
++ btRotationalLimitMotor
++#else
++ btRotationalLimitMotor2
++#endif
++ *motor = this->bulletHinge2->getRotationalLimitMotor(_index);
+ if (motor)
+ return motor->m_loLimit;
+
diff --git a/sci-electronics/gazebo/gazebo-5.1.0.ebuild b/sci-electronics/gazebo/gazebo-5.1.0.ebuild
new file mode 100644
index 000000000000..d1a55a1ed8ff
--- /dev/null
+++ b/sci-electronics/gazebo/gazebo-5.1.0.ebuild
@@ -0,0 +1,66 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit cmake-utils versionator vcs-snapshot flag-o-matic
+
+DESCRIPTION="A 3D multiple robot simulator with dynamics"
+HOMEPAGE="http://gazebosim.org/"
+SRC_URI="https://bitbucket.org/osrf/gazebo/get/${PN}$(get_major_version)_${PV}.tar.bz2"
+
+LICENSE="Apache-2.0"
+SLOT="0"
+KEYWORDS="~amd64"
+IUSE="cpu_flags_x86_sse2 libav test"
+
+RDEPEND="
+ >=dev-libs/protobuf-2.3.0
+ virtual/opengl
+ media-libs/openal
+ net-misc/curl
+ dev-libs/tinyxml
+ dev-libs/libtar
+ dev-cpp/tbb
+ >=dev-games/ogre-1.7.4
+ sci-libs/libccd
+ libav? ( media-video/libav:= )
+ !libav? ( media-video/ffmpeg:= )
+ sci-libs/gts
+ >=sci-physics/bullet-2.82
+ >=dev-libs/sdformat-2.3.1
+ dev-qt/qtcore:4
+ dev-qt/qtgui:4
+ dev-libs/boost:=[threads]
+ sci-libs/gdal
+ virtual/libusb:1
+ dev-libs/libspnav
+ media-libs/freeimage
+"
+DEPEND="${RDEPEND}
+ dev-qt/qttest:4
+ app-text/ronn
+ virtual/pkgconfig
+"
+S="${WORKDIR}/${PN}$(get_major_version)_${PV}"
+CMAKE_BUILD_TYPE=RelWithDebInfo
+PATCHES=( "${FILESDIR}/bullet_283.patch" )
+
+src_configure() {
+ # doesnt build without it
+ append-cxxflags "-std=c++11"
+ # doesnt build with as-needed either
+ append-ldflags "-Wl,--no-as-needed"
+
+ has_version '>=sci-physics/bullet-2.83' && append-cppflags "-DLIBBULLET_VERSION_GT_282=1"
+
+ local mycmakeargs=(
+ "-DUSE_UPSTREAM_CFLAGS=OFF"
+ "-DSSE2_FOUND=$(usex cpu_flags_x86_sse2 TRUE FALSE)"
+ "-DUSE_HOST_CFLAGS=FALSE"
+ "-DENABLE_TESTS_COMPILATION=$(usex test TRUE FALSE)"
+ "-DENABLE_SCREEN_TESTS=FALSE"
+ )
+ cmake-utils_src_configure
+}
diff --git a/sci-electronics/gazebo/metadata.xml b/sci-electronics/gazebo/metadata.xml
new file mode 100644
index 000000000000..e7dd05f66879
--- /dev/null
+++ b/sci-electronics/gazebo/metadata.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<maintainer>
+<email>aballier@gentoo.org</email>
+<name>Alexis Ballier</name>
+</maintainer>
+</pkgmetadata>
diff --git a/sci-electronics/geda-suite/geda-suite-20110427.ebuild b/sci-electronics/geda-suite/geda-suite-20110427.ebuild
new file mode 100644
index 000000000000..3d878aa045b1
--- /dev/null
+++ b/sci-electronics/geda-suite/geda-suite-20110427.ebuild
@@ -0,0 +1,23 @@
+# Copyright 1999-2011 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+HOMEPAGE="http://www.geda.seul.org"
+DESCRIPTION="Metapackage which installs all the components required for a full-featured gEDA/gaf system"
+
+IUSE=''
+LICENSE="GPL-2"
+KEYWORDS="~amd64 ~x86"
+SLOT="0"
+
+RDEPEND="sci-electronics/geda
+ sci-electronics/gerbv
+ >=sci-electronics/gnucap-0.35.20091207
+ >=sci-electronics/gwave-20090213-r1
+ >=sci-electronics/pcb-20100929
+ >=sci-electronics/geda-xgsch2pcb-0.1.3-r2
+ >=sci-electronics/iverilog-0.9.1
+ sci-electronics/ngspice
+ sci-electronics/gspiceui
+ >=sci-electronics/gnetman-0.0.1_pre20060522-r2
+ sci-electronics/gtkwave"
diff --git a/sci-electronics/geda-suite/metadata.xml b/sci-electronics/geda-suite/metadata.xml
new file mode 100644
index 000000000000..ce0e016bb307
--- /dev/null
+++ b/sci-electronics/geda-suite/metadata.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+</pkgmetadata>
diff --git a/sci-electronics/geda-xgsch2pcb/Manifest b/sci-electronics/geda-xgsch2pcb/Manifest
new file mode 100644
index 000000000000..3616b70419e9
--- /dev/null
+++ b/sci-electronics/geda-xgsch2pcb/Manifest
@@ -0,0 +1 @@
+DIST geda-xgsch2pcb-0.1.3.tar.gz 160225 SHA256 dec4201fca0579eb296260ff4edb9088855740995acd0b163319b1b2e0e7e471 SHA512 24d8c798be49a0aa09c12c97eb6d33e1bd5ccf1a866a8889dd04e3dd2035afd6b8799638d696691216df9d5c645922b8cfb5aadc1373d15c6ee62eec0f72b965 WHIRLPOOL 46c16216409c8051ea70cdaf0629509b6c167dc7bc71ced7882f0c4696f1ce9ebc7276b9d1ff189ee0e98317cd6bd08a16fd872698c7ff8a4764320b52381400
diff --git a/sci-electronics/geda-xgsch2pcb/files/0.1.3-python.patch b/sci-electronics/geda-xgsch2pcb/files/0.1.3-python.patch
new file mode 100644
index 000000000000..f06b2aff4a5f
--- /dev/null
+++ b/sci-electronics/geda-xgsch2pcb/files/0.1.3-python.patch
@@ -0,0 +1,31 @@
+diff --git a/Makefile.am b/Makefile.am
+index aa8e2d6..4193aac 100644
+--- a/Makefile.am
++++ b/Makefile.am
+@@ -22,7 +22,7 @@ SUBDIRS = po data
+
+ bin_SCRIPTS = xgsch2pcb
+
+-pkglib_PYTHON = lib/xgsch2pcb/pcbmanager.py \
++pkgpython_PYTHON = lib/xgsch2pcb/pcbmanager.py \
+ lib/xgsch2pcb/gsch2pcbproject.py \
+ lib/xgsch2pcb/gui.py \
+ lib/xgsch2pcb/new_project_gui.py \
+@@ -30,7 +30,7 @@ pkglib_PYTHON = lib/xgsch2pcb/pcbmanager.py \
+ lib/xgsch2pcb/templates.py \
+ lib/xgsch2pcb/funcs.py
+
+-nodist_pkglib_PYTHON = config.py
++nodist_pkgpython_PYTHON = config.py
+
+ INTLTOOL_FILES = intltool-extract.in \
+ intltool-merge.in \
+@@ -53,7 +53,7 @@ templatesdir = @templatesdir@
+ do_subst = sed -e 's,[@]prefix[@],$(prefix),g' \
+ -e 's,[@]PYTHON[@],$(PYTHON),g' \
+ -e 's,[@]VERSION[@],$(VERSION),g' \
+- -e 's,[@]pkglibdir[@],$(pkglibdir),g' \
++ -e 's,[@]pkglibdir[@],$(pkgpythondir),g' \
+ -e 's,[@]templatesdir[@],$(templatesdir),g' \
+ -e 's,[@]PACKAGE[@],$(PACKAGE),g'
+
diff --git a/sci-electronics/geda-xgsch2pcb/geda-xgsch2pcb-0.1.3-r2.ebuild b/sci-electronics/geda-xgsch2pcb/geda-xgsch2pcb-0.1.3-r2.ebuild
new file mode 100644
index 000000000000..aff4c681fd89
--- /dev/null
+++ b/sci-electronics/geda-xgsch2pcb/geda-xgsch2pcb-0.1.3-r2.ebuild
@@ -0,0 +1,78 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="3"
+
+WANT_AUTOCONF="2.5"
+PYTHON_DEPEND="2"
+
+inherit autotools eutils fdo-mime gnome2-utils python
+
+DESCRIPTION="A graphical front-end for the gschem -> pcb workflow"
+HOMEPAGE="http://www.gpleda.org"
+SRC_URI="http://geda.seul.org/dist/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="gnome nls"
+
+CDEPEND="
+ dev-python/pygtk:2
+ dev-python/pygobject:2
+ dev-python/dbus-python
+ sci-electronics/pcb[dbus]
+ sci-electronics/geda
+ nls? ( virtual/libintl )"
+
+RDEPEND="
+ ${CDEPEND}
+ sci-electronics/electronics-menu
+ gnome? ( dev-python/gnome-vfs-python )"
+
+DEPEND="
+ ${CDEPEND}
+ dev-util/intltool
+ dev-lang/perl
+ nls? ( sys-devel/gettext )"
+
+pkg_setup() {
+ python_set_active_version 2
+}
+
+src_prepare(){
+ echo '#!/bin/sh' > py-compile
+ epatch "${FILESDIR}"/${PV}-python.patch
+ eautoreconf
+}
+
+src_configure() {
+ econf \
+ $(use_enable nls) \
+ --disable-update-desktop-database \
+ --disable-dependency-tracking
+}
+
+src_install() {
+ emake DESTDIR="${D}" install || die "emake install failed"
+ dodoc README ChangeLog || die
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+ python_mod_optimize ${PN}
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+ python_mod_cleanup ${PN}
+}
diff --git a/sci-electronics/geda-xgsch2pcb/metadata.xml b/sci-electronics/geda-xgsch2pcb/metadata.xml
new file mode 100644
index 000000000000..55a84c45251b
--- /dev/null
+++ b/sci-electronics/geda-xgsch2pcb/metadata.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ ``gsch2pcb`` is a command-line tool, part of the gEDA suite, which is used
+ to generate and update a PCB layout. It works with schematics created
+ by ``gschem``, part of the gEDA suite, and layouts created by ``pcb``, a
+ PCB layout system commonly used with gEDA.
+
+ ``xgsch2pcb`` provides an intuitive, user-friendly graphical interface to
+ ``gsch2pcb``.
+ </longdescription>
+</pkgmetadata>
+
diff --git a/sci-electronics/geda/Manifest b/sci-electronics/geda/Manifest
new file mode 100644
index 000000000000..ac941c81c417
--- /dev/null
+++ b/sci-electronics/geda/Manifest
@@ -0,0 +1,3 @@
+DIST geda-gaf-1.8.1.tar.gz 11197244 SHA256 026888ce21cb83a3543a7554de0ddc610cc8dc6ce2341df17c5b3305d6dcbb10 SHA512 16f88af81d514c3504625765c64ad1d2a45d3da6a4c75f68db30f51776ff56d907ce97fb69e39cc6347ee9bfa4b591a62240fec6cfc73c0f54b61285e118b35b WHIRLPOOL da6743d97428deb81dd80dad9ca2f8476643f21bb34386681e54edd1dccb241f5d016d8b3d1640a80aaab1233a1cc23f835fc340955b1524d2085cc5b50abc71
+DIST geda-gaf-1.8.2.tar.gz 11282353 SHA256 bbf4773aef1b5a51a8d6f4c3fa288c047340cc62dd6e14d7928fcc6e4051b721 SHA512 3a6fad455c3fd2b62e9788ff04ca33242f2e4701ec24089d8c4304ad5ca44af4901fc1c396b3f840e14a0be0729ff08561a82c6911e1d23ac4b8636534b4e764 WHIRLPOOL e4e6db1b7f6d2f37d99027f9a55797f7ac5a304bb4be474d6d57091121672a86e8dfa5131e830f9bc6335f57cb3864716ef17793b550b0df064e9d2c2b158038
+DIST geda-gaf-1.9.1.tar.gz 11566302 SHA256 563c4ae8ba72824e873094d9133425b8f142f7e5b25cd6da33f69b2d99c980a3 SHA512 1c10662162365f902e61ef0ff225aeff8620c02f9507d33d5656c4aa240e7371fab5576bd5d68139390ce583548f34304f75893e1b8ab8fbacee25ddbdb93719 WHIRLPOOL 1594b98889dae9a6a61a9e76a7a4561c4f211199646b4064d361c0cfa56fc9a24ff834d73bbf10c6c44164f05c1b8a70007023958398fae4c856c6a60a41bc2a
diff --git a/sci-electronics/geda/geda-1.8.1.ebuild b/sci-electronics/geda/geda-1.8.1.ebuild
new file mode 100644
index 000000000000..fc1219ec14c1
--- /dev/null
+++ b/sci-electronics/geda/geda-1.8.1.ebuild
@@ -0,0 +1,81 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+inherit eutils fdo-mime gnome2-utils versionator
+
+MY_PN=${PN}-gaf
+MY_P=${MY_PN}-${PV}
+
+DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
+HOMEPAGE="http://www.gpleda.org/"
+SRC_URI="http://ftp.geda-project.org/${MY_PN}/stable/v$(get_version_component_range 1-2)/${PV}/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE="debug doc examples nls stroke threads"
+
+CDEPEND="
+ dev-libs/glib:2
+ x11-libs/gtk+:2
+ >=x11-libs/cairo-1.2.0
+ >=dev-scheme/guile-1.8[deprecated]
+ nls? ( virtual/libintl )
+ stroke? ( >=dev-libs/libstroke-0.5.1 )"
+
+DEPEND="${CDEPEND}
+ sys-apps/groff
+ dev-util/desktop-file-utils
+ x11-misc/shared-mime-info
+ virtual/pkgconfig
+ nls? ( >=sys-devel/gettext-0.16 )"
+
+RDEPEND="${CDEPEND}
+ sci-electronics/electronics-menu"
+
+S=${WORKDIR}/${MY_P}
+
+DOCS="AUTHORS NEWS README"
+
+src_prepare() {
+ if ! use doc ; then
+ sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
+ fi
+ if ! use examples ; then
+ sed -i -e 's/\texamples$//' Makefile.in || die
+ fi
+}
+
+src_configure() {
+ econf \
+ --docdir=/usr/share/doc/${PF} \
+ $(use_enable threads threads posix) \
+ $(use_with stroke libstroke) \
+ $(use_enable nls) \
+ $(use_enable debug assert) \
+ --disable-doxygen \
+ --disable-rpath \
+ --disable-update-xdg-database
+}
+
+src_test() {
+ emake -j1 check
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/geda/geda-1.8.2.ebuild b/sci-electronics/geda/geda-1.8.2.ebuild
new file mode 100644
index 000000000000..292cd959e56d
--- /dev/null
+++ b/sci-electronics/geda/geda-1.8.2.ebuild
@@ -0,0 +1,82 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+inherit eutils fdo-mime flag-o-matic gnome2-utils versionator
+
+MY_PN=${PN}-gaf
+MY_P=${MY_PN}-${PV}
+
+DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
+HOMEPAGE="http://www.gpleda.org/"
+SRC_URI="http://ftp.geda-project.org/${MY_PN}/stable/v$(get_version_component_range 1-2)/${PV}/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE="debug doc examples nls stroke threads"
+
+CDEPEND="
+ dev-libs/glib:2
+ x11-libs/gtk+:2
+ >=x11-libs/cairo-1.2.0
+ >=dev-scheme/guile-1.8[deprecated]
+ nls? ( virtual/libintl )
+ stroke? ( >=dev-libs/libstroke-0.5.1 )"
+
+DEPEND="${CDEPEND}
+ sys-apps/groff
+ dev-util/desktop-file-utils
+ x11-misc/shared-mime-info
+ virtual/pkgconfig
+ nls? ( >=sys-devel/gettext-0.16 )"
+
+RDEPEND="${CDEPEND}
+ sci-electronics/electronics-menu"
+
+S=${WORKDIR}/${MY_P}
+
+DOCS="AUTHORS NEWS README"
+
+src_prepare() {
+ append-libs -lgio-2.0
+ if ! use doc ; then
+ sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
+ fi
+ if ! use examples ; then
+ sed -i -e 's/\texamples$//' Makefile.in || die
+ fi
+}
+
+src_configure() {
+ econf \
+ --docdir=/usr/share/doc/${PF} \
+ $(use_enable threads threads posix) \
+ $(use_with stroke libstroke) \
+ $(use_enable nls) \
+ $(use_enable debug assert) \
+ --disable-doxygen \
+ --disable-rpath \
+ --disable-update-xdg-database
+}
+
+src_test() {
+ emake -j1 check
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/geda/geda-1.9.1.ebuild b/sci-electronics/geda/geda-1.9.1.ebuild
new file mode 100644
index 000000000000..3ea743dc181c
--- /dev/null
+++ b/sci-electronics/geda/geda-1.9.1.ebuild
@@ -0,0 +1,84 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+inherit eutils fdo-mime gnome2-utils versionator
+
+MY_PN=${PN}-gaf
+MY_P=${MY_PN}-${PV}
+
+DESCRIPTION="GPL Electronic Design Automation (gEDA):gaf core package"
+HOMEPAGE="http://www.gpleda.org/"
+SRC_URI="http://ftp.geda-project.org/${MY_PN}/unstable/v$(get_version_component_range 1-2)/${PV}/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="debug doc examples nls stroke threads"
+
+CDEPEND="
+ dev-libs/glib:2
+ x11-libs/gtk+:2
+ x11-libs/pango
+ >=x11-libs/cairo-1.2.0
+ x11-libs/gdk-pixbuf
+ >=dev-scheme/guile-1.8:12[deprecated]
+ nls? ( virtual/libintl )
+ stroke? ( >=dev-libs/libstroke-0.5.1 )"
+
+DEPEND="${CDEPEND}
+ sys-apps/groff
+ dev-util/desktop-file-utils
+ x11-misc/shared-mime-info
+ virtual/pkgconfig
+ nls? ( >=sys-devel/gettext-0.16 )"
+
+RDEPEND="${CDEPEND}
+ sci-electronics/electronics-menu"
+
+S=${WORKDIR}/${MY_P}
+
+DOCS="AUTHORS NEWS README"
+
+src_prepare() {
+ append-libs -lgio-2.0
+ if ! use doc ; then
+ sed -i -e '/^SUBDIRS = /s/docs//' Makefile.in || die
+ fi
+ if ! use examples ; then
+ sed -i -e 's/\texamples$//' Makefile.in || die
+ fi
+}
+
+src_configure() {
+ econf \
+ --docdir=/usr/share/doc/${PF} \
+ $(use_enable threads threads posix) \
+ $(use_with stroke libstroke) \
+ $(use_enable nls) \
+ $(use_enable debug assert) \
+ --disable-doxygen \
+ --disable-rpath \
+ --disable-update-xdg-database
+}
+
+src_test() {
+ emake -j1 check
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/geda/metadata.xml b/sci-electronics/geda/metadata.xml
new file mode 100644
index 000000000000..d8f1c8ca5dbd
--- /dev/null
+++ b/sci-electronics/geda/metadata.xml
@@ -0,0 +1,22 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ The GPL Electronic Design Automation (gEDA) project has produced and
+ continues working on a full GPL'd suite and toolkit of Electronic
+ Design Automation tools. These tools are used for electrical circuit
+ design, schematic capture, simulation, prototyping, and
+ production. Currently, the gEDA project offers a mature suite of free
+ software applications for electronics design, including schematic
+ capture, attribute management, bill of materials (BOM) generation,
+ netlisting into over 20 netlist formats, analog and digital
+ simulation, and printed circuit board (PCB) layout.
+ The gEDA/gaf suite (this package) provides schematic capture,
+ netlisting, bill of materials generation, and many other features.
+ </longdescription>
+ <use>
+ <flag name='stroke'>enable mouse gesture support</flag>
+ </use>
+</pkgmetadata>
+
diff --git a/sci-electronics/gerbv/Manifest b/sci-electronics/gerbv/Manifest
new file mode 100644
index 000000000000..eb77eccf63d1
--- /dev/null
+++ b/sci-electronics/gerbv/Manifest
@@ -0,0 +1 @@
+DIST gerbv-2.6.0.tar.gz 2346299 SHA256 5c55425c3493bc8407949be8b4e572434a6b378f5727cc0dcef97dc2e7574dd0 SHA512 a2d7601b44c8ed16a89d18713f451c0298bee65e2ea76b87e3d9d573af63d3b57758cb7cfcfb2177a22aecc7d9fdaaca3d369ea051446651af4dc542065a4377 WHIRLPOOL 07fd10e35d7f384bfdf8d16c9e1a42bdf3010dcd45c2cb3cb359f7c0478b0739c784900e8448c117841511e7d6fed2fe5bd69051fd61e2c64750f83e931909c4
diff --git a/sci-electronics/gerbv/gerbv-2.6.0.ebuild b/sci-electronics/gerbv/gerbv-2.6.0.ebuild
new file mode 100644
index 000000000000..53dbb2514e38
--- /dev/null
+++ b/sci-electronics/gerbv/gerbv-2.6.0.ebuild
@@ -0,0 +1,55 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit fdo-mime
+
+DESCRIPTION="A RS-274X (Gerber) and NC drill (Excellon) file viewer"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+HOMEPAGE="http://gerbv.geda-project.org/"
+
+IUSE="doc examples static-libs unit-mm"
+SLOT="0"
+LICENSE="GPL-2"
+KEYWORDS="amd64 ppc x86"
+RESTRICT="test"
+
+RDEPEND="
+ x11-libs/gtk+:2
+ x11-libs/cairo"
+
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+src_configure() {
+ econf \
+ $(use_enable unit-mm) \
+ --disable-update-desktop-database \
+ $(use_enable static-libs static)
+}
+
+src_install () {
+ emake DESTDIR="${D}" install
+ dodoc AUTHORS BUGS ChangeLog CONTRIBUTORS HACKING NEWS README* TODO
+
+ rm doc/Doxyfile.nopreprocessing
+ if use doc; then
+ find doc -name "Makefile*" -exec rm -f '{}' \;
+ dodoc -r doc/*
+ fi
+
+ if use examples; then
+ find example -name "Makefile*" -exec rm -f '{}' \;
+ dodoc -r example/*
+ fi
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+}
diff --git a/sci-electronics/gerbv/metadata.xml b/sci-electronics/gerbv/metadata.xml
new file mode 100644
index 000000000000..655a535046fe
--- /dev/null
+++ b/sci-electronics/gerbv/metadata.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Gerbv is a viewer for Gerber RS-274X files, Excellon drill files, and CSV
+ pick-and-place files. (Note: RS-274D files are not supported.)
+ The core functionality of gerbv is located in a separate library
+ (libgerbv), allowing developers to include Gerber
+ parsing/editing/exporting/rendering into other programs.
+ </longdescription>
+ <use>
+ <flag name="unit-mm">Set default unit for coordinates in status bar to mm</flag>
+ </use>
+ <upstream>
+ <remote-id type="sourceforge">gerbv</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/ghdl/Manifest b/sci-electronics/ghdl/Manifest
new file mode 100644
index 000000000000..b00d1d7ce30d
--- /dev/null
+++ b/sci-electronics/ghdl/Manifest
@@ -0,0 +1,2 @@
+DIST gcc-core-4.3.4.tar.bz2 24329359 SHA256 3189838f5047be4ff54711c84db17c76ecfcc79bf4f4d110bf7323eeb90568fa SHA512 03282633d5dcfee2b474b6d0ed2bfc708611a2049f4f4abf85c371ae04c2060a97a01380c77ef2e88b4e6f7eff5c368d1031737f4eab9f537dc1ed61430f0cf1 WHIRLPOOL 18b7202c67b6c10696c2f399741683f3b6a5805a55fd8a20240e309e0bb2e4311bb00cd4a7b72dcb3e1e38a192ae70399b92e66b97486bb2584a5f0595270dc5
+DIST ghdl-0.29.tar.bz2 784389 SHA256 6a4b01e14fae83b336ed33e8d92e0673a5999963ff569528e592721ab28db496 SHA512 6e86013d3798c875b8fbb67b64c9b0cdda89c878985b64a1ea2d0e04e0c3ae714799a4ffe050f3651f7df8078b791d8613733065c799261f28884103c1597210 WHIRLPOOL 381ca0e2a32bba2595f7a4317c847f9b09f4129bd72b5d265da33acf458e52aefc6d9a9ba9532940a15f587d2adc8c294b302ac4d45e92e3b56575e164bbe668
diff --git a/sci-electronics/ghdl/files/ghdl-0.29-gcc.patch b/sci-electronics/ghdl/files/ghdl-0.29-gcc.patch
new file mode 100644
index 000000000000..b819371ca510
--- /dev/null
+++ b/sci-electronics/ghdl/files/ghdl-0.29-gcc.patch
@@ -0,0 +1,132 @@
+diff --git a/gcc/config/alpha/linux-unwind.h b/gcc/config/alpha/linux-unwind.h
+index 23151ad..1b2d9d0 100644
+--- a/gcc/config/alpha/linux-unwind.h
++++ b/gcc/config/alpha/linux-unwind.h
+@@ -52,7 +52,7 @@ alpha_fallback_frame_state (struct _Unwind_Context *context,
+ else if (pc[1] == 0x201f015f) /* lda $0,NR_rt_sigreturn */
+ {
+ struct rt_sigframe {
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *rt_ = context->cfa;
+ sc = &rt_->uc.uc_mcontext;
+diff --git a/gcc/config/bfin/linux-unwind.h b/gcc/config/bfin/linux-unwind.h
+index c369711..90c91a3 100644
+--- a/gcc/config/bfin/linux-unwind.h
++++ b/gcc/config/bfin/linux-unwind.h
+@@ -52,10 +52,10 @@ bfin_fallback_frame_state (struct _Unwind_Context *context,
+ {
+ struct rt_sigframe {
+ int sig;
+- struct siginfo *pinfo;
++ siginfo_t *pinfo;
+ void *puc;
+ char retcode[8];
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *rt_ = context->cfa;
+
+diff --git a/gcc/config/i386/linux-unwind.h b/gcc/config/i386/linux-unwind.h
+index 58eb733..9808925 100644
+--- a/gcc/config/i386/linux-unwind.h
++++ b/gcc/config/i386/linux-unwind.h
+@@ -137,9 +137,9 @@ x86_fallback_frame_state (struct _Unwind_Context *context,
+ {
+ struct rt_sigframe {
+ int sig;
+- struct siginfo *pinfo;
++ siginfo_t *pinfo;
+ void *puc;
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *rt_ = context->cfa;
+ /* The void * cast is necessary to avoid an aliasing warning.
+diff --git a/gcc/config/ia64/linux-unwind.h b/gcc/config/ia64/linux-unwind.h
+index 8b2878e..bbc77e6 100644
+--- a/gcc/config/ia64/linux-unwind.h
++++ b/gcc/config/ia64/linux-unwind.h
+@@ -51,7 +51,7 @@ ia64_fallback_frame_state (struct _Unwind_Context *context,
+ struct sigframe {
+ char scratch[16];
+ unsigned long sig_number;
+- struct siginfo *info;
++ siginfo_t *info;
+ struct sigcontext *sc;
+ } *frame_ = (struct sigframe *)context->psp;
+ struct sigcontext *sc = frame_->sc;
+@@ -130,7 +130,7 @@ ia64_handle_unwabi (struct _Unwind_Context *context, _Unwind_FrameState *fs)
+ struct sigframe {
+ char scratch[16];
+ unsigned long sig_number;
+- struct siginfo *info;
++ siginfo_t *info;
+ struct sigcontext *sc;
+ } *frame = (struct sigframe *)context->psp;
+ struct sigcontext *sc = frame->sc;
+diff --git a/gcc/config/mips/linux-unwind.h b/gcc/config/mips/linux-unwind.h
+index 4e71182..86d4711 100644
+--- a/gcc/config/mips/linux-unwind.h
++++ b/gcc/config/mips/linux-unwind.h
+@@ -79,7 +79,7 @@ mips_fallback_frame_state (struct _Unwind_Context *context,
+ struct rt_sigframe {
+ u_int32_t ass[4]; /* Argument save space for o32. */
+ u_int32_t trampoline[2];
+- struct siginfo info;
++ siginfo_t info;
+ _sig_ucontext_t uc;
+ } *rt_ = context->cfa;
+ sc = &rt_->uc.uc_mcontext;
+diff --git a/gcc/config/pa/linux-unwind.h b/gcc/config/pa/linux-unwind.h
+index 812561e..84ab137 100644
+--- a/gcc/config/pa/linux-unwind.h
++++ b/gcc/config/pa/linux-unwind.h
+@@ -66,7 +66,7 @@ pa32_fallback_frame_state (struct _Unwind_Context *context,
+ int i;
+ struct sigcontext *sc;
+ struct rt_sigframe {
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *frame;
+
+diff --git a/gcc/config/sh/linux-unwind.h b/gcc/config/sh/linux-unwind.h
+index 3a3e9aa..7699729 100644
+--- a/gcc/config/sh/linux-unwind.h
++++ b/gcc/config/sh/linux-unwind.h
+@@ -80,9 +80,9 @@ shmedia_fallback_frame_state (struct _Unwind_Context *context,
+ && (*(unsigned long *) (pc+11) == 0x6ff0fff0))
+ {
+ struct rt_sigframe {
+- struct siginfo *pinfo;
++ siginfo_t *pinfo;
+ void *puc;
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *rt_ = context->cfa;
+ /* The void * cast is necessary to avoid an aliasing warning.
+@@ -179,7 +179,7 @@ sh_fallback_frame_state (struct _Unwind_Context *context,
+ && (*(unsigned short *) (pc+14) == 0x00ad))))
+ {
+ struct rt_sigframe {
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *rt_ = context->cfa;
+ /* The void * cast is necessary to avoid an aliasing warning.
+diff --git a/gcc/config/xtensa/linux-unwind.h b/gcc/config/xtensa/linux-unwind.h
+index 18daff2..2a5e4f8 100644
+--- a/gcc/config/xtensa/linux-unwind.h
++++ b/gcc/config/xtensa/linux-unwind.h
+@@ -66,7 +66,7 @@ xtensa_fallback_frame_state (struct _Unwind_Context *context,
+ struct sigcontext *sc;
+
+ struct rt_sigframe {
+- struct siginfo info;
++ siginfo_t info;
+ struct ucontext uc;
+ } *rt_;
+
diff --git a/sci-electronics/ghdl/ghdl-0.29.ebuild b/sci-electronics/ghdl/ghdl-0.29.ebuild
new file mode 100644
index 000000000000..02ea2b0ee520
--- /dev/null
+++ b/sci-electronics/ghdl/ghdl-0.29.ebuild
@@ -0,0 +1,78 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="3"
+
+inherit eutils multilib
+
+GCC_VERSION="4.3.4"
+GNATGCC_SLOT="4.3"
+
+DESCRIPTION="Complete VHDL simulator using the GCC technology"
+HOMEPAGE="http://ghdl.free.fr"
+SRC_URI="http://ghdl.free.fr/${P}.tar.bz2
+ mirror://gnu/gcc/releases/gcc-${GCC_VERSION}/gcc-core-${GCC_VERSION}.tar.bz2"
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+DEPEND="<sys-apps/texinfo-5.1
+ dev-lang/gnat-gcc:${GNATGCC_SLOT}"
+RDEPEND=""
+S="${WORKDIR}/gcc-${GCC_VERSION}"
+
+ADA_INCLUDE_PATH="${ROOT}/usr/lib/gnat-gcc/${CHOST}/${GNATGCC_SLOT}/adainclude"
+ADA_OBJECTS_PATH="${ROOT}/usr/lib/gnat-gcc/${CHOST}/${GNATGCC_SLOT}/adalib"
+GNATGCC_PATH="${ROOT}/usr/${CHOST}/gnat-gcc-bin/${GNATGCC_SLOT}:${ROOT}/usr/libexec/gnat-gcc/${CHOST}/${GNATGCC_SLOT}"
+
+src_prepare() {
+ mv "${WORKDIR}/${P}"/vhdl gcc
+ sed -i -e 's/ADAC = \$(CC)/ADAC = gnatgcc/' gcc/vhdl/Makefile.in || die "sed failed"
+ sed -i \
+ -e 's/AGCC_CFLAGS=-g/AGCC_CFLAGS=$(CFLAGS)/' \
+ -e 's/rm -rf $(infodir)/rm -rf $(DESTDIR)$(infodir)/' \
+ gcc/vhdl/Make-lang.in || die "sed failed"
+
+ # Fix issue similar to bug #195074, ported from vapier's fix for binutils
+ sed -i -e "s:egrep.*texinfo.*dev/null:egrep 'texinfo[^0-9]*(4\.([4-9]|[1-9][0-9])|[5-9]|[1-9][0-9])' >/dev/null:" \
+ configure* || die "sed failed"
+
+ # For multilib profile arch, see bug #203721
+ if (has_multilib_profile || use multilib ) ; then
+ for T_LINUX64 in `find "${S}/gcc/config" -name t-linux64` ;
+ do
+ einfo "sed for ${T_LINUX64} for multilib. :)"
+ sed -i \
+ -e "s:\(MULTILIB_OSDIRNAMES = \).*:\1../lib64 ../lib32:" \
+ "${T_LINUX64}" \
+ || die "sed for ${T_LINUX64} failed. :("
+ done
+ fi
+
+ # fix for bug #477552 backported from bug #424970
+ epatch "${FILESDIR}"/${P}-gcc.patch
+}
+
+src_configure() {
+ PATH="${GNATGCC_PATH}:${PATH}" econf --enable-languages=vhdl
+}
+
+src_compile() {
+ PATH="${GNATGCC_PATH}:${PATH}" emake -j1 || die "Compilation failed"
+}
+
+src_install() {
+ # bug #277644
+ PATH="${GNATGCC_PATH}:${PATH}" emake -j1 DESTDIR="${D}" install || die "Installation failed"
+
+ cd "${D}"/usr/bin ; rm `ls --ignore=ghdl`
+ rm -rf "${D}"/usr/include
+ rm "${D}"/usr/$(get_libdir)/lib*
+ cd "${D}"/usr/$(get_libdir)/gcc/${CHOST}/${GCC_VERSION} ; rm -rf `ls --ignore=vhdl*`
+ cd "${D}"/usr/libexec/gcc/${CHOST}/${GCC_VERSION} ; rm -rf `ls --ignore=ghdl*`
+ cd "${D}"/usr/share/info ; rm `ls --ignore=ghdl*`
+ cd "${D}"/usr/share/man/man1 ; rm `ls --ignore=ghdl*`
+ rm -Rf "${D}"/usr/share/locale
+ rm -Rf "${D}"/usr/share/man/man7
+}
diff --git a/sci-electronics/ghdl/metadata.xml b/sci-electronics/ghdl/metadata.xml
new file mode 100644
index 000000000000..21cf833ed2ac
--- /dev/null
+++ b/sci-electronics/ghdl/metadata.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ A complete VHDL simulator, using the GCC technology. GHDL implements the VHDL
+ language according to the IEEE 1076-1987 or the IEEE 1076-1993 standard. GHDL
+ compiles VHDL files and creates a binary which simulates (or executes) your design.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/gnetman/Manifest b/sci-electronics/gnetman/Manifest
new file mode 100644
index 000000000000..5181ceee81ad
--- /dev/null
+++ b/sci-electronics/gnetman/Manifest
@@ -0,0 +1 @@
+DIST gnetman-0.0.1_pre20110124.tar.gz 500308 SHA256 ee1d5ac7165813405ee1c31fe6058c0b22b796decd14c0f1af27bf76fef5103d SHA512 d872bf3d4967c201a16e6b625a2003771bb7b33e8af3127a06f445429f575d32451e4ce3cc0f34389cc047b2d0cf2e3ef8afff63b1bf362184e2a5859bbb09f2 WHIRLPOOL 69807934df4e6f52f777ae426cd5258e2d2bed3d9ca25fd144b55c701bcb0f8143df50f3bd92f6668f22bc1e65198d21f6d8272548d391a73504155e9b5561aa
diff --git a/sci-electronics/gnetman/files/gnetman-0.0.1_pre20110124-tcl86.patch b/sci-electronics/gnetman/files/gnetman-0.0.1_pre20110124-tcl86.patch
new file mode 100644
index 000000000000..fdb6573990f4
--- /dev/null
+++ b/sci-electronics/gnetman/files/gnetman-0.0.1_pre20110124-tcl86.patch
@@ -0,0 +1,20 @@
+--- gnetman-0.0.1_pre20110124_orig/src/tcl/tclwrap.c 2012-05-25 07:44:51.000000000 +0200
++++ gnetman-0.0.1_pre20110124/src/tcl/tclwrap.c 2013-02-24 12:58:51.000000000 +0100
+@@ -46,7 +46,7 @@
+ result = Tcl_EvalFile(interp, (char *)fileName) == TCL_OK;
+ if (!result) {
+ utWarning("Tcl error in file %s, line %d: %s", fileName,
+- interp->errorLine, interp->result);
++ Tcl_GetErrorLine(interp), Tcl_GetStringResult(interp));
+ }
+ return result;
+ }
+@@ -75,7 +75,7 @@
+ commandLine[xChar] = '\0';
+ result = Tcl_Eval(interp, commandLine);
+ if (result == TCL_ERROR) {
+- utWarning("Tcl error: %s", interp->result);
++ utWarning("Tcl error: %s", Tcl_GetStringResult(interp));
+ }
+ } while (result != TCL_RETURN);
+ return true;
diff --git a/sci-electronics/gnetman/gnetman-0.0.1_pre20110124.ebuild b/sci-electronics/gnetman/gnetman-0.0.1_pre20110124.ebuild
new file mode 100644
index 000000000000..22b771d16789
--- /dev/null
+++ b/sci-electronics/gnetman/gnetman-0.0.1_pre20110124.ebuild
@@ -0,0 +1,50 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils toolchain-funcs
+
+DESCRIPTION="A GNU Netlist Manipulation Library"
+HOMEPAGE="http://sourceforge.net/projects/gnetman/"
+#snapshot from http://gnetman.git.sourceforge.net/git/gitweb.cgi?p=gnetman/gnetman;
+SRC_URI="mirror://gentoo/${P}.tar.gz"
+
+SLOT="0"
+LICENSE="GPL-2"
+IUSE="doc examples"
+KEYWORDS="~amd64 ~x86"
+
+S=${WORKDIR}/${P}/src/batch
+
+RDEPEND="dev-lang/tcl:0
+ sci-electronics/geda"
+DEPEND="${RDEPEND}
+ dev-db/datadraw"
+
+src_prepare() {
+ sed -e "/^CFLAGS=/s:-g -Wall:${CFLAGS}:" \
+ -e "/^CFLAGS=/s:-I/usr/include/tcl8.4::" \
+ -e "/^LIBS=/s:-ltcl8.4:-ltcl:" \
+ -e '/^$(TARGET):/,+3s:$(CFLAGS):$(CFLAGS) $(LDFLAGS):' \
+ -i configure || die
+ tc-export CC
+
+ cd ../.. || die
+ # fix build issues with tcl-8.6, #452034
+ epatch "${FILESDIR}/${P}-tcl86.patch"
+}
+
+src_install () {
+ cd ../.. || die
+
+ dobin bin/${PN}
+
+ insinto /usr/share/gEDA
+ doins system-gnetmanrc.tcl
+
+ use examples && dodoc -r sym sch test
+ dodoc README
+ use doc && dodoc doc/*.{html,jpg}
+}
diff --git a/sci-electronics/gnetman/metadata.xml b/sci-electronics/gnetman/metadata.xml
new file mode 100644
index 000000000000..39d0266c593e
--- /dev/null
+++ b/sci-electronics/gnetman/metadata.xml
@@ -0,0 +1,14 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ The gnetman project has one simple goal: Enabling interoperability between
+ open-source EDA tools. To date, gnetman can read and/or write (in theory)
+ formats used by the following tools :
+ * gschem
+ * iverilog
+ * ltspice, hspice, eldo, gnucap, tclspice
+ * confluence
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/gnucap/Manifest b/sci-electronics/gnucap/Manifest
new file mode 100644
index 000000000000..789ee28f90a7
--- /dev/null
+++ b/sci-electronics/gnucap/Manifest
@@ -0,0 +1,5 @@
+DIST gnucap-2009-12-07-models-bsim.tar.gz 4074699 SHA256 17e06676ba16847d426d026fa2878ff432b81169df11c0a7fe4627afdfbb2786 SHA512 4f7caaeab0268df113da726f4fbd5a0f3eff63c325a7c08f0cd10ec727318aff6a51ce81619f0f3e31b87f89a51643cd608cb14ba09a70fb7294df9748ed1db4 WHIRLPOOL 9c775ef60c0174d38e1cb5511a20bd24f513c93c13739031580ebdd17d0b3a6db3817edd09d4fe19be456651b5a1659202973790a79bfddaae31fa0c25305fa5
+DIST gnucap-2009-12-07-models-jspice3-2.5.tar.gz 502564 SHA256 732f95292ed8c6dc2354985a2f2ddb875bfa48ae1ca12fe037aa8c0ddf49e29a SHA512 90d93912d5d000218f43e7b63efcd43473467d7d51c1cee160f3fbe53b0bafd5bdeaf5680e2ca67d3176063ba026352248412d1e266d3f6ed93e731e476d61fe WHIRLPOOL 6ad1b4f01cfd1662d218da3d89ed832ce6d3ba66eac54113fb00daeee127ff9c98ea6a6144a9c4e3f2fb1af97e3bcd7e8bf7435bc86ae4da2bd3428febe5a6d9
+DIST gnucap-2009-12-07-models-ngspice17.tar.gz 4418060 SHA256 cf66e6cae5ad1e47d7702a62b94beb66dd88b2230781ded3c2be1defd90e757c SHA512 0f26b4491531d41f18d35b8cde339e99b593794495346186dac74f72bd3632dfe4690ede50a972c47ae41b2229a62d708ecd28bb8fc04b5b7347a481bca709d5 WHIRLPOOL 763db6c2f810d9567bae8de698a484a83a7e3697b1adf56ef9f075a4bdcf1bc71aaf1ca8d07212b05d7607f7b91c973eb4fc7828a5e3e4d7826fda4ee0ea3bbb
+DIST gnucap-2009-12-07-models-spice3f5.tar.gz 629424 SHA256 4b2880aec340823cb2474e5dbecf99fff238325e3820de22a1392d3d85c3c9ed SHA512 4c16e4bcdd3b4092bd6c89c56421ef9ca85b6aba90d9e244fee03278916c6b5ac1c56ecba62d4a5561ff3d93abc61492c0116ccc350c483128ca792b52fa7155 WHIRLPOOL 70f5583914d68777b79fc7b3ef89849f645553ce252033cd7f8f4a9845063b9d9a378cfb18a58570d8255c6499881abca44eba78bdd82f9b7d1de17e7ae81bd3
+DIST gnucap-2009-12-07.tar.gz 545578 SHA256 f6cd8fc0e0636a1aaa50def5a3db2a667b4fff3cb7a34fa0710753082e74e65e SHA512 c0e8a4f6b66eeff2cf95e9cf2050a25aa08f660de1e4b3e78011998deb93cd4e5b562cdcf5f3beb72e04cb3c7650cde6ae8c81602ffc31f5e333d84e7e3867a9 WHIRLPOOL 57f7dfa291ae75f54fa92c387a35c90f6f30cd08e08de3255936756d0a39e41dd9e94219ced704a19cf12d0bac5fe2c2a71bc41841094787dbb867be04753541
diff --git a/sci-electronics/gnucap/files/gnucap-0.35-gcc43.patch b/sci-electronics/gnucap/files/gnucap-0.35-gcc43.patch
new file mode 100644
index 000000000000..3bd648916102
--- /dev/null
+++ b/sci-electronics/gnucap/files/gnucap-0.35-gcc43.patch
@@ -0,0 +1,22 @@
+diff -Naur gnucap-0.35-orig/modelgen/md.h gnucap-0.35/modelgen/md.h
+--- gnucap-0.35-orig/modelgen/md.h 2006-08-28 00:15:43.000000000 -0600
++++ gnucap-0.35/modelgen/md.h 2009-02-15 14:31:22.000000000 -0600
+@@ -44,6 +44,7 @@
+ #include <csetjmp>
+ #include <csignal>
+ #include <iostream>
++#include <cstring>
+ // types
+ #include <complex>
+ #include <string>
+diff -Naur gnucap-0.35-orig/src/md.h gnucap-0.35/src/md.h
+--- gnucap-0.35-orig/src/md.h 2006-08-28 00:15:43.000000000 -0600
++++ gnucap-0.35/src/md.h 2009-02-15 14:31:22.000000000 -0600
+@@ -44,6 +44,7 @@
+ #include <csetjmp>
+ #include <csignal>
+ #include <iostream>
++#include <cstring>
+ // types
+ #include <complex>
+ #include <string>
diff --git a/sci-electronics/gnucap/gnucap-0.35.20091207.ebuild b/sci-electronics/gnucap/gnucap-0.35.20091207.ebuild
new file mode 100644
index 000000000000..19ab60a2a823
--- /dev/null
+++ b/sci-electronics/gnucap/gnucap-0.35.20091207.ebuild
@@ -0,0 +1,81 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+inherit multilib toolchain-funcs
+
+SNAPSHOTDATE="${P##*.}"
+MY_PV="${PN}-${SNAPSHOTDATE:0:4}-${SNAPSHOTDATE:4:2}-${SNAPSHOTDATE:6:2}"
+
+DESCRIPTION="GNUCap is the GNU Circuit Analysis Package"
+SRC_URI="http://www.gnucap.org/devel/${MY_PV}.tar.gz
+ http://www.gnucap.org/devel/${MY_PV}-models-bsim.tar.gz
+ http://www.gnucap.org/devel/${MY_PV}-models-jspice3-2.5.tar.gz
+ http://www.gnucap.org/devel/${MY_PV}-models-ngspice17.tar.gz
+ http://www.gnucap.org/devel/${MY_PV}-models-spice3f5.tar.gz"
+HOMEPAGE="http://www.gnucap.org/"
+
+IUSE="examples"
+SLOT="0"
+LICENSE="GPL-2"
+KEYWORDS="amd64 ppc x86"
+
+DEPEND=""
+RDEPEND=""
+
+S="${WORKDIR}/${MY_PV}"
+
+src_prepare() {
+ # No need to install COPYING and INSTALL
+ sed -i \
+ -e 's: COPYING INSTALL::' \
+ -e 's:COPYING history INSTALL:history:' \
+ doc/Makefile.in || die "sed failed"
+
+ if ! use examples ; then
+ sed -i \
+ -e 's:examples modelgen:modelgen:' \
+ Makefile.in || die "sed failed"
+ fi
+
+ sed -i -e 's:CFLAGS = -O2 -g:CPPFLAGS +=:' \
+ -e '/CCFLAGS =/i\CFLAGS += $(CPPFLAGS)' \
+ -e 's:CCFLAGS = $(CFLAGS):CXXFLAGS += $(CPPFLAGS):' \
+ -e 's:LDFLAGS = :LDFLAGS += :' \
+ -e 's:CCFLAGS:CXXFLAGS:' \
+ -e "s:../Gnucap:${S}/src:" \
+ models-*/Make2 || die "sed failed"
+
+ sed -i -e "s:strchr(str2, '|'):const_cast<char*>(strchr(str2, '|')):" \
+ {src,modelgen}/ap_match.cc || die "sed failed"
+
+ tc-export CC CXX
+}
+
+src_compile () {
+ emake || die "Compilation failed"
+ for PLUGIN_DIR in models-* ; do
+ cd "${S}/${PLUGIN_DIR}"
+ emake CC=$(tc-getCC) CCC=$(tc-getCXX) || die "Compilation failed in ${PLUGIN_DIR}"
+ done
+}
+
+src_install () {
+ emake DESTDIR="${D}" install || die "Installation failed"
+ insopts -m0755
+ for PLUGIN_DIR in models-* ; do
+ insinto /usr/$(get_libdir)/gnucap/${PLUGIN_DIR}
+ cd "${S}/${PLUGIN_DIR}"
+ for PLUGIN in */*.so ; do
+ newins ${PLUGIN} ${PLUGIN##*/} \
+ || die "Installation of ${PLUGIN_DIR}/${PLUGIN} failed"
+ done
+ done
+}
+
+pkg_postinst() {
+ elog "Documentation for development releases is now available at :"
+ elog " http://wiki.gnucap.org/dokuwiki/doku.php?id=gnucap:manual"
+}
diff --git a/sci-electronics/gnucap/metadata.xml b/sci-electronics/gnucap/metadata.xml
new file mode 100644
index 000000000000..736e953cd4d5
--- /dev/null
+++ b/sci-electronics/gnucap/metadata.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Gnucap is a general purpose circuit simulator. It performs nonlinear dc and
+ transient analyses, fourier analysis, and ac analysis. Gnucap is not based on
+ Spice, but some of the models have been derived from the Berkeley models.
+ Unlike Spice, the engine is designed to do true mixed-mode simulation. Most
+ of the code is in place for future support of event driven analog simulation,
+ and true multi-rate simulation.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/gplcver/Manifest b/sci-electronics/gplcver/Manifest
new file mode 100644
index 000000000000..37212f666370
--- /dev/null
+++ b/sci-electronics/gplcver/Manifest
@@ -0,0 +1,2 @@
+DIST gplcver-2.11a.src.tar.bz2 1189439 SHA256 b64eea22f354bee2de09532309c6a1e3f3658c427fe2d063ef3921c1042fa380
+DIST gplcver-2.12a.src.tar.bz2 1224470 SHA256 f7d94677677f10c2d1e366eda2d01a652ef5f30d167660905c100f52f1a46e75 SHA512 62835fd32c37865590c56e4e04cdd2b1e0918551a927fafe35a2b0838082af2af903e714d2afc0f28d76eb5c077e5b3f56cbf671dee5f38717ddea68a35753e5 WHIRLPOOL 55f681d8f52fff0637b2e9ba93c9c1551353a21a064a71d5f269a20bbf1d400837512809eeadc083f3f18595a510182bd0227ad9662d4d33d83efd81a3bd2e3f
diff --git a/sci-electronics/gplcver/gplcver-2.11a.ebuild b/sci-electronics/gplcver/gplcver-2.11a.ebuild
new file mode 100644
index 000000000000..91b176e402bf
--- /dev/null
+++ b/sci-electronics/gplcver/gplcver-2.11a.ebuild
@@ -0,0 +1,59 @@
+# Copyright 1999-2006 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+MY_PN=gpl-cver
+
+DESCRIPTION="Verilog simulator"
+HOMEPAGE="http://www.pragmatic-c.com/${MY_PN}"
+SRC_URI="http://www.pragmatic-c.com/${MY_PN}/downloads/${P}.src.tar.bz2"
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="x86 ~ppc"
+IUSE=""
+S=${WORKDIR}/${P}.src
+
+src_unpack() {
+ unpack ${A}
+ sed -i -e "s/^\(CFLAGS= .*\)/#\1\nCFLAGS=\$(WARNS) \$(INCS) ${CFLAGS}/" ${S}/src/makefile.lnx
+ sed -i -e "s/^\(CFLAGS= .*\)/#\1\nCFLAGS= ${CFLAGS}/" ${S}/vcddiff.dir/src/makefile.lnx
+}
+
+src_compile(){
+ cd ${S}/src
+ emake -f makefile.lnx || die
+ cd ${S}/vcddiff.dir/src
+ emake -f makefile.lnx || die
+}
+
+src_install() {
+ dodir /usr
+ dodir /usr/bin
+ dobin bin/cver bin/vcddiff || die
+ doman doc/systasks.1
+ dodoc doc/README doc/cver*[!htm] doc/dbg.hlp doc/systasks.pdf vcddiff.dir/README.vcddiff
+ dohtml doc/cver.faq.htm
+ dodir /usr/include/cver_pli_incs
+ insinto /usr/include/cver_pli_incs
+ doins pli_incs/*.h
+}
+
+src_test() {
+ # fixme: make tests die if something fails
+ cd ${S}/tests_and_examples/
+ # first verify install
+ cd install.tst
+ ./inst_tst.sh
+ # now individual tests
+ cd ../capacity.tst
+ ../../bin/cver -f lfsr.vc
+ diff verilog.log lfsr.plg
+ cd ../examples.acc
+ ./inst_pli.sh lnx
+ #opt_inst_pli.sh lnx
+ cd ../examples.tf
+ ./inst_pli.sh lnx
+ #opt_inst_pli.sh lnx
+ cd ../examples.vpi
+ ./inst_pli.sh lnx
+}
diff --git a/sci-electronics/gplcver/gplcver-2.12a.ebuild b/sci-electronics/gplcver/gplcver-2.12a.ebuild
new file mode 100644
index 000000000000..767eb05426d9
--- /dev/null
+++ b/sci-electronics/gplcver/gplcver-2.12a.ebuild
@@ -0,0 +1,65 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+MY_PN=gpl-cver
+
+DESCRIPTION="Verilog simulator"
+HOMEPAGE="http://sourceforge.net/projects/${PN}"
+SRC_URI="http://www.pragmatic-c.com/${MY_PN}/downloads/${P}.src.tar.bz2"
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+S=${WORKDIR}/${P}.src
+
+src_unpack() {
+ unpack ${A}
+ cd "${S}"
+ sed -i \
+ -e "s/^CFLAGS/#CFLAGS/" \
+ -e "s/(CFLAGS)/(INCS) \$(CFLAGS)/" \
+ -e "s/(LFLAGS)/(LFLAGS) \$(LDFLAGS)/" \
+ src/makefile.* || die "sed failed"
+ sed -i \
+ -e "s/^CFLAGS/#CFLAGS/" \
+ -e "s/(OPTFLGS) vcddiff.o/(LDFLAGS) vcddiff.o/" \
+ vcddiff.dir/src/makefile.* || die "sed failed"
+}
+
+src_compile(){
+ cd "${S}"/src
+ emake -f makefile.lnx || die "emake failed"
+ cd "${S}"/vcddiff.dir/src
+ emake -f makefile.lnx || die "emake failed"
+}
+
+src_install() {
+ dobin bin/cver bin/vcddiff || die "Failed installing binaries"
+ doman doc/systasks.1
+ dodoc doc/README doc/cver*[!htm] doc/dbg.hlp doc/systasks.pdf vcddiff.dir/README.vcddiff
+ dohtml doc/cver.faq.htm
+ dodir /usr/include/cver_pli_incs
+ insinto /usr/include/cver_pli_incs
+ doins pli_incs/*.h
+}
+
+src_test() {
+ # fixme: make tests die if something fails
+ cd "${S}"/tests_and_examples/
+ # first verify install
+ cd install.tst
+ ./inst_tst.sh
+ # now individual tests
+ cd ../capacity.tst
+ ../../bin/cver -f lfsr.vc
+ diff verilog.log lfsr.plg
+ cd ../examples.acc
+ ./inst_pli.sh lnx
+ #opt_inst_pli.sh lnx
+ cd ../examples.tf
+ ./inst_pli.sh lnx
+ #opt_inst_pli.sh lnx
+ cd ../examples.vpi
+ ./inst_pli.sh lnx
+}
diff --git a/sci-electronics/gplcver/metadata.xml b/sci-electronics/gplcver/metadata.xml
new file mode 100644
index 000000000000..f07e8be172e6
--- /dev/null
+++ b/sci-electronics/gplcver/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ GPL Cver is a Verilog HDL simulator that is released under the GNU General
+ Public License. GPL Cver is a full 1995 P1364 Verilog standard HDL simulator.
+ It also implements some of the 2001 P1364 standard features including all
+ three PLI interfaces (tf_, acc_ and vpi_) as defined in the 2001 Language
+ Reference Manual (LRM).
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/gresistor/Manifest b/sci-electronics/gresistor/Manifest
new file mode 100644
index 000000000000..f3b19b77f319
--- /dev/null
+++ b/sci-electronics/gresistor/Manifest
@@ -0,0 +1 @@
+DIST gresistor-0.0.1.tar.gz 25038 SHA256 c343a0cb05422d216eca7941ac11987165630f21516a430e679ac41459c3c4c2 SHA512 9d0165894e86ca4cdd63585a7e370045abdadef5089a4fbf7412ac31062ce4e4fdec934d3302f17a76ed1dc449bd5521682bc57d817a3fea88ac8afde8113ca2 WHIRLPOOL 8b4bca5298ff86aa0c938b0b0fd29963f481ffe10201a29e280020f0a7eacf27b2e3fdd03c813c620b82d4095199f17e04feb44c94cc8b14379a9be72742a21e
diff --git a/sci-electronics/gresistor/gresistor-0.0.1-r1.ebuild b/sci-electronics/gresistor/gresistor-0.0.1-r1.ebuild
new file mode 100644
index 000000000000..ee0557609f64
--- /dev/null
+++ b/sci-electronics/gresistor/gresistor-0.0.1-r1.ebuild
@@ -0,0 +1,43 @@
+# Copyright 1999-2012 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+PYTHON_DEPEND="2:2.5"
+
+inherit distutils eutils
+
+DESCRIPTION="Translate a resistor color codes into a readable value"
+HOMEPAGE="http://www.roroid.ro/index.php?option=com_content&view=article&id=1:gresistor&catid=1:software-projects&Itemid=2"
+SRC_URI="http://www.roroid.ro/progs/${PN}/${P}.tar.gz"
+
+LICENSE="|| ( GPL-3 LGPL-3 )"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+DEPEND="
+ dev-python/pygtk:2
+ x11-libs/gtk+:2
+ gnome-base/libglade:2.0"
+RDEPEND="${DEPEND}"
+
+DOCS=(
+ "README"
+)
+
+pkg_setup() {
+ python_set_active_version 2
+ python_pkg_setup
+}
+
+src_prepare() {
+ # fix typoes. Bug #416467
+ sed -i "s:Sylver:Silver:" ${PN} ${PN}.glade || die
+}
+
+src_install() {
+ distutils_src_install
+ newicon pixmaps/icon.png ${PN}.png
+ domenu ${PN}.desktop
+}
diff --git a/sci-electronics/gresistor/gresistor-0.0.1-r2.ebuild b/sci-electronics/gresistor/gresistor-0.0.1-r2.ebuild
new file mode 100644
index 000000000000..73f7bee7c992
--- /dev/null
+++ b/sci-electronics/gresistor/gresistor-0.0.1-r2.ebuild
@@ -0,0 +1,41 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+PYTHON_COMPAT=( python2_7 )
+DISTUTILS_SINGLE_IMPL=1
+
+inherit distutils-r1
+
+DESCRIPTION="Translate a resistor color codes into a readable value"
+HOMEPAGE="http://www.roroid.ro/index.php?option=com_content&view=article&id=1:gresistor&catid=1:software-projects&Itemid=2"
+SRC_URI="http://www.roroid.ro/progs/${PN}/${P}.tar.gz"
+
+LICENSE="|| ( GPL-3 LGPL-3 )"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+DEPEND="
+ dev-python/pygtk:2[${PYTHON_USEDEP}]
+ x11-libs/gtk+:2
+ gnome-base/libglade:2.0[${PYTHON_USEDEP}]"
+RDEPEND="${DEPEND}"
+
+pkg_setup() {
+ python-single-r1_pkg_setup
+}
+
+python_prepare_all() {
+ # fix typoes. Bug #416467
+ sed -i "s:Sylver:Silver:" ${PN} ${PN}.glade || die
+ distutils-r1_python_prepare_all
+}
+
+src_install() {
+ distutils-r1_src_install
+ newicon pixmaps/icon.png ${PN}.png
+ domenu ${PN}.desktop
+}
diff --git a/sci-electronics/gresistor/gresistor-0.0.1.ebuild b/sci-electronics/gresistor/gresistor-0.0.1.ebuild
new file mode 100644
index 000000000000..ffe5ec68b721
--- /dev/null
+++ b/sci-electronics/gresistor/gresistor-0.0.1.ebuild
@@ -0,0 +1,38 @@
+# Copyright 1999-2011 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=3
+PYTHON_DEPEND="2:2.5"
+
+inherit distutils eutils
+
+DESCRIPTION="Translate a resistor color codes into a readable value"
+HOMEPAGE="http://www.roroid.ro/index.php?option=com_content&view=article&id=1:gresistor&catid=1:software-projects&Itemid=2"
+SRC_URI="http://www.roroid.ro/progs/${PN}/${P}.tar.gz"
+
+LICENSE="|| ( GPL-3 LGPL-3 )"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE=""
+
+DEPEND="
+ dev-python/pygtk:2
+ x11-libs/gtk+:2
+ gnome-base/libglade:2.0"
+RDEPEND="${DEPEND}"
+
+DOCS=(
+ "README"
+)
+
+pkg_setup() {
+ python_set_active_version 2
+ python_pkg_setup
+}
+
+src_install() {
+ distutils_src_install
+ newicon pixmaps/icon.png ${PN}.png
+ domenu ${PN}.desktop
+}
diff --git a/sci-electronics/gresistor/metadata.xml b/sci-electronics/gresistor/metadata.xml
new file mode 100644
index 000000000000..5e3a61a90139
--- /dev/null
+++ b/sci-electronics/gresistor/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<maintainer>
+<email>hwoarang@gentoo.org</email>
+<name>Markos Chandras</name>
+</maintainer>
+<longdescription lang="en">
+</longdescription>
+</pkgmetadata>
+
diff --git a/sci-electronics/gsmc/Manifest b/sci-electronics/gsmc/Manifest
new file mode 100644
index 000000000000..965a659f3edc
--- /dev/null
+++ b/sci-electronics/gsmc/Manifest
@@ -0,0 +1 @@
+DIST gsmc-1.1.tar.gz 122726 RMD160 80406e224b485d24fe3d0505a8cb861f39b1fa31 SHA1 781f0c51ebe041419071703b8102e56536b47418 SHA256 3cd7fa7fb8712f878229e085ac8881bd829893da31dce96c3e98118798d63fda
diff --git a/sci-electronics/gsmc/files/gsmc-1.1-autotools.patch b/sci-electronics/gsmc/files/gsmc-1.1-autotools.patch
new file mode 100644
index 000000000000..94b0558d6b19
--- /dev/null
+++ b/sci-electronics/gsmc/files/gsmc-1.1-autotools.patch
@@ -0,0 +1,48 @@
+--- gsmc-1.1.orig/configure.ac 2011-01-12 18:14:55.633360421 -0200
++++ gsmc-1.1/configure.ac 2011-01-12 19:58:12.617251642 -0200
+@@ -7,26 +7,13 @@
+ AM_INIT_AUTOMAKE(gsmc, 1.0, ik5nax@amsat.org)
+
+ # Checks for programs.
+-AC_PROG_CC
+-pkg_modules="gtk+-2.0"
+-PKG_CHECK_MODULES(PACKAGE,[$pkg_modules])
++AM_PROG_CC_C_O
+ AC_SUBST(PACKAGE_CFLAGS)
+ AC_SUBST(PACKAGE_LIBS)
+
+ # Checks for libraries.
+-LIBS=" "
+-PKG_LIB2=`pkg-config --libs gtk+-2.0`
+-PKG_LIB=""
+-for i in $PKG_LIB2 ; do
+- case $i in
+- -l*) PKG_LIB="$i $PKG_LIB" ;;
+- -L*) LIBS="$i $LIBS" ;;
+- esac
+-done
+-for i in $PKG_LIB ; do
+- j=${i#'-l'}
+- AC_CHECK_LIB($j,main)
+-done
++PKG_PROG_PKG_CONFIG
++PKG_CHECK_MODULES([GTK], [gtk+-2.0])
+
+ # Checks for header files.
+ AC_HEADER_STDC
+
+--- gsmc-1.1.orig/Makefile.am 2011-01-12 18:14:55.633360421 -0200
++++ gsmc-1.1/Makefile.am 2011-01-12 20:37:21.034615478 -0200
+@@ -2,8 +2,8 @@
+
+ bin_PROGRAMS=gsmc
+ gsmc_SOURCES= autotune.c calc.c dataconv.c draw.c main.c print.c widget.c autotune.h calc.h dataconv.h draw.h main.h print.h widget.h
+-INCLUDES=@PACKAGE_CFLAGS@
+-LIBS=@PACKAGE_LIBS@
++gsmc_CFLAGS = $(AM_CFLAGS) $(GTK_CFLAGS)
++gsmc_LDADD = $(AM_LDADD) $(GTK_LIBS)
+
+ EXTRA_DIST=gsmc.1.in gtkrc TODO
+-man_MANS=gsmc.1
+\ No newline at end of file
++man_MANS=gsmc.1
diff --git a/sci-electronics/gsmc/gsmc-1.1-r1.ebuild b/sci-electronics/gsmc/gsmc-1.1-r1.ebuild
new file mode 100644
index 000000000000..f47795fa3426
--- /dev/null
+++ b/sci-electronics/gsmc/gsmc-1.1-r1.ebuild
@@ -0,0 +1,35 @@
+# Copyright 1999-2012 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+inherit autotools eutils
+
+DESCRIPTION="A GTK program for doing Smith Chart calculations"
+HOMEPAGE="http://www.qsl.net/ik5nax/"
+SRC_URI="http://www.qsl.net/ik5nax/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+CDEPEND="
+ dev-libs/glib:2
+ x11-libs/gtk+:2"
+DEPEND="${CDEPEND}
+ virtual/pkgconfig"
+RDEPEND="${CDEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}/${P}-autotools.patch"
+ eautoreconf
+}
+
+src_install() {
+ make DESTDIR="${D}" install || die
+ dodoc AUTHORS NEWS README TODO || die
+ insinto /usr/share/${PN}
+ doins example* || die
+}
diff --git a/sci-electronics/gsmc/metadata.xml b/sci-electronics/gsmc/metadata.xml
new file mode 100644
index 000000000000..53ae7d77c6b9
--- /dev/null
+++ b/sci-electronics/gsmc/metadata.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>sci-electronics</herd>
+</pkgmetadata>
diff --git a/sci-electronics/gspeakers/Manifest b/sci-electronics/gspeakers/Manifest
new file mode 100644
index 000000000000..9e9043eb21f3
--- /dev/null
+++ b/sci-electronics/gspeakers/Manifest
@@ -0,0 +1 @@
+DIST gspeakers-0.11.tar.gz 546406 SHA256 fe5941f9e17b57d47581b56afb47c35957bc1c1e254a2675a2ad913a9625dc3f SHA512 5a0c6374b5f18d089a1903c5718ba21b9932e4c6a99456e13d4f144eef243a623aca241590e941fa50300a642876b6be8684bcf036db724182c2ee925072bd20 WHIRLPOOL 9418d010b14b760b95c1a51729358c2f30ba7d8f7f5860136195cc7d687310d07ec93ce19525a042d44230e41b1b857895e975c48a4631ccab6de2d63b10d7b1
diff --git a/sci-electronics/gspeakers/files/gspeakers-0.11-gcc43.patch b/sci-electronics/gspeakers/files/gspeakers-0.11-gcc43.patch
new file mode 100644
index 000000000000..47576fd9a23d
--- /dev/null
+++ b/sci-electronics/gspeakers/files/gspeakers-0.11-gcc43.patch
@@ -0,0 +1,50 @@
+--- gspeakers-0.11-orig/src/filterlinkframe.cc
++++ gspeakers-0.11/src/filterlinkframe.cc
+@@ -22,6 +22,7 @@
+ #include <stdio.h>
+ #include <fstream>
+ #include <sstream>
++#include <cstring>
+ #include "filterlinkframe.h"
+ #include "gspeakersplot.h"
+ #include "common.h"
+--- gspeakers-0.11-orig/src/freqrespeditor.cc
++++ gspeakers-0.11/src/freqrespeditor.cc
+@@ -20,6 +20,7 @@
+ #include "freqrespeditor.h"
+ #include <fstream>
+ #include <stdio.h>
++#include <cstring>
+ #include <gtkmm/stock.h>
+ #include <gtkmm/frame.h>
+ #include <gtkmm/messagedialog.h>
+--- gspeakers-0.11-orig/src/popupentry.cc
++++ gspeakers-0.11/src/popupentry.cc
+@@ -26,6 +26,7 @@
+ #include <gtkmm.h>
+ #include <gtk/gtkentry.h> /* see XXX below */
+ #include <iostream>
++#include <cstring>
+
+ using namespace std;
+
+--- gspeakers-0.11-orig/src/speakereditor.cc
++++ gspeakers-0.11/src/speakereditor.cc
+@@ -18,6 +18,7 @@
+ */
+
+ #include <fstream>
++#include <cstring>
+ #include <math.h>
+ #include "speakereditor.h"
+ #include "common.h"
+--- gspeakers-0.11-orig/src/summedfreqrespplot.cc
++++ gspeakers-0.11/src/summedfreqrespplot.cc
+@@ -20,6 +20,7 @@
+ */
+
+ #include <fstream>
++#include <cstring>
+ #include <math.h>
+ #include "summedfreqrespplot.h"
+ #include "net.h"
diff --git a/sci-electronics/gspeakers/files/gspeakers-0.11-glib-single-include.patch b/sci-electronics/gspeakers/files/gspeakers-0.11-glib-single-include.patch
new file mode 100644
index 000000000000..344527abbeeb
--- /dev/null
+++ b/sci-electronics/gspeakers/files/gspeakers-0.11-glib-single-include.patch
@@ -0,0 +1,117 @@
+Index: gspeakers-0.11/src/box.cc
+===================================================================
+--- gspeakers-0.11.orig/src/box.cc
++++ gspeakers-0.11/src/box.cc
+@@ -17,7 +17,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include <sstream>
+ #include "box.h"
+ #include "common.h"
+Index: gspeakers-0.11/src/boxlist.cc
+===================================================================
+--- gspeakers-0.11.orig/src/boxlist.cc
++++ gspeakers-0.11/src/boxlist.cc
+@@ -17,7 +17,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include "boxlist.h"
+ #include "common.h"
+
+Index: gspeakers-0.11/src/cellitemcrossover.h
+===================================================================
+--- gspeakers-0.11.orig/src/cellitemcrossover.h
++++ gspeakers-0.11/src/cellitemcrossover.h
+@@ -22,7 +22,7 @@
+ #define __GSPEAKERS_CELLITEM_CROSSOVER
+
+ #include <glibmm/ustring.h>
+-#include <glib/gstring.h>
++#include <glib.h>
+ #include <vector>
+ #include "part.h"
+
+Index: gspeakers-0.11/src/crossover.cc
+===================================================================
+--- gspeakers-0.11.orig/src/crossover.cc
++++ gspeakers-0.11/src/crossover.cc
+@@ -15,7 +15,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include <sstream>
+ #include "crossover.h"
+
+Index: gspeakers-0.11/src/crossoverlist.cc
+===================================================================
+--- gspeakers-0.11.orig/src/crossoverlist.cc
++++ gspeakers-0.11/src/crossoverlist.cc
+@@ -15,7 +15,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include "crossoverlist.h"
+
+ CrossoverList::CrossoverList()
+Index: gspeakers-0.11/src/net.cc
+===================================================================
+--- gspeakers-0.11.orig/src/net.cc
++++ gspeakers-0.11/src/net.cc
+@@ -17,7 +17,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include <sstream>
+ #include <fstream>
+ #include "net.h"
+Index: gspeakers-0.11/src/part.cc
+===================================================================
+--- gspeakers-0.11.orig/src/part.cc
++++ gspeakers-0.11/src/part.cc
+@@ -21,7 +21,7 @@
+ #include <iostream>
+ #include <sstream>
+ #include <stdlib.h>
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+
+ using namespace sigc;
+ using namespace std;
+Index: gspeakers-0.11/src/speaker.cc
+===================================================================
+--- gspeakers-0.11.orig/src/speaker.cc
++++ gspeakers-0.11/src/speaker.cc
+@@ -15,7 +15,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include <sstream>
+ #include "speaker.h"
+ #include "common.h"
+Index: gspeakers-0.11/src/speakerlist.cc
+===================================================================
+--- gspeakers-0.11.orig/src/speakerlist.cc
++++ gspeakers-0.11/src/speakerlist.cc
+@@ -15,7 +15,7 @@
+ Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ */
+
+-#include <glib/gstrfuncs.h>
++#include <glib.h>
+ #include "speakerlist.h"
+ #include "common.h"
+
diff --git a/sci-electronics/gspeakers/gspeakers-0.11-r1.ebuild b/sci-electronics/gspeakers/gspeakers-0.11-r1.ebuild
new file mode 100644
index 000000000000..40e73c710bc2
--- /dev/null
+++ b/sci-electronics/gspeakers/gspeakers-0.11-r1.ebuild
@@ -0,0 +1,34 @@
+# Copyright 1999-2012 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+inherit eutils gnome2 autotools
+
+DESCRIPTION="GTK based loudspeaker enclosure and crossovernetwork designer"
+HOMEPAGE="http://gspeakers.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+LICENSE="LGPL-2.1"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE=""
+
+RDEPEND="dev-cpp/gtkmm:2.4
+ dev-libs/libxml2:2"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+RDEPEND="${RDEPEND}
+ || ( sci-electronics/gnucap
+ sci-electronics/ngspice
+ sci-electronics/spice )"
+
+DOCS="AUTHORS ChangeLog NEWS README* TODO"
+
+src_prepare() {
+ sed -i -e "s/-O0//" src/Makefile.am
+ epatch "${FILESDIR}"/${P}-gcc43.patch
+ epatch "${FILESDIR}"/${P}-glib-single-include.patch
+ eautoreconf
+ gnome2_src_prepare
+}
diff --git a/sci-electronics/gspeakers/metadata.xml b/sci-electronics/gspeakers/metadata.xml
new file mode 100644
index 000000000000..ddaf5bd1f300
--- /dev/null
+++ b/sci-electronics/gspeakers/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ GSpeakers is a GTK based loudspeaker and crossovernetwork design program.
+ </longdescription>
+ <upstream>
+ <remote-id type="sourceforge">gspeakers</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/gspiceui/Manifest b/sci-electronics/gspiceui/Manifest
new file mode 100644
index 000000000000..4f35bd86ec8c
--- /dev/null
+++ b/sci-electronics/gspiceui/Manifest
@@ -0,0 +1,3 @@
+DIST gspiceui-v0.9.99.tar.gz 574595 SHA256 9d76db157c4b3f0d4b7bba289653f6646da5183eb7cdc08c9f1056aa7d3f9533 SHA512 87e3422b7425d8ed99c5f946ce27c35a12b3379ae61dd0c8114815fe2d413f9cb983da2cb3a284af6432bfd49dd4cf6d3f1e3aa78e815999e5dccc420890dffe WHIRLPOOL c8417ab5f4c4ca74987978716aa5a224a1b64f38b7e029e0fe3e589082488c8251d4dfe5c2b7e22c6a25ba23632dad0d71331283003ee06c417e9a27a2bb6a6e
+DIST gspiceui-v1.0.00.tar.gz 612517 SHA256 ff8d38b9bb10bf695c00a0660dcbd14a43072e93851c5100a85133919736d5da SHA512 9f32ad6da742ecaed0ed9ce2e076d94553cee897f0410108cc0e07ebf1c885eb7b5923a7fc69848afd17ce1844f5d636762eb63a6f923c8a88a1d067860d1edb WHIRLPOOL 9e288a8f8303068a2ef3be8d1ac1e06f54e0554b2308df3fff762467eb10dbd73d43bd3aec761e9b357b3733db2bc8ce19333d707926657fcecc8e971623381f
+DIST gspiceui-v1.1.00.tar.gz 1048236 SHA256 22cbe0a8800f9fade43235c74df2e3defb06f2d6e0ac57cff81d058ab89da564 SHA512 46ccf3dd7a5dd9ec1cac1eb742187ecab15a6c0033467d52a44c916e239696faf7b8797e1a155191cf0ed37b04619b0666cff51e78912a06ecd2c63d377cba93 WHIRLPOOL 66c0e089c9246924eee308ec120a7ecd256d91b4e54306d3c7f9e4bc2fcd2982157bbb551d376fb80f1df1c547ea9723a9dc9b0549745f79ff754f2202ef7204
diff --git a/sci-electronics/gspiceui/files/gspiceui-0.9.99-examples.patch b/sci-electronics/gspiceui/files/gspiceui-0.9.99-examples.patch
new file mode 100644
index 000000000000..54d37ce96268
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-0.9.99-examples.patch
@@ -0,0 +1,52 @@
+# add missing simulation models
+diff -uNr lib/npn/bc548.mod lib/npn/bc548.mod
+--- lib/npn/bc548.mod 1970-01-01 01:00:00.000000000 +0100
++++ lib/npn/bc548.mod 2009-09-10 01:25:48.000000000 +0200
+@@ -0,0 +1,5 @@
++*BC548BP ZETEX Spice model Last revision 4/90 General Purpose
++*ZTX Si 500mW 30V 200mA 300MHz pkg:TO-92 1,2,3
++.MODEL BC548 NPN( IS=10.2F NF=1 BF=676 VAF=98.6 IKF=60M ISE=1.94P NE=2 BR=4 NR=1
+++ VAR=24 IKR=90M RE=0.515 RB=2.06 RC=0.206 XTB=1.5 CJE=7.05P VJE=1.1 MJE=0.5
+++ CJC=5.21P VJC=0.3 MJC=0.3 TF=530P TR=368N )
+diff -uNr lib/npn/bc550.mod lib/npn/bc550.mod
+--- lib/npn/bc550.mod 1970-01-01 01:00:00.000000000 +0100
++++ lib/npn/bc550.mod 2009-09-10 01:25:33.000000000 +0200
+@@ -0,0 +1,6 @@
++*BC550BP ZETEX Spice model Last revision 4/90 Low Noise
++*ZTX Si 3dB 45V 200mA 300MHz pkg:TO-92 1,2,3
++.MODEL BC550 NPN( IS=1.8E-14 BF=400 NF=0.9955 VAF=80 IKF=0.14 ISE=5E-14
+++ NE=1.46 BR=35.5 NR=1.005 VAR=12.5 IKR=0.03 ISC=1.72E-13 NC=1.27 RB=0.56
+++ RE=0.6 RC=0.25 CJE=1.3E-11 TF=6.4E-10 CJC=4E-12 VJC=0.54 TR=5.072E-8 )
++
+diff -uNr lib/npn/tip41.mod lib/npn/tip41.mod
+--- lib/npn/tip41.mod 1970-01-01 01:00:00.000000000 +0100
++++ lib/npn/tip41.mod 2007-09-04 17:41:08.000000000 +0200
+@@ -0,0 +1,4 @@
++.MODEL TIP41 NPN(IS=457.5f XTI=3 EG=1.11 VAF=50 BF=156.7 ISE=1.346p NE=1.34
+++ IKF=3.296 NK=.5961 XTB=2.2 BR=7.639 ISC=604.1f NC=2.168 IKR=8.131m RC=91.29m
+++ CJC=278.7p MJC=.385 VJC=.75 FC=.5 CJE=433p MJE=.5 VJE=.75 TR=1.412u TF=37.34n
+++ ITF=35.68 XTF=1.163 VTF=10 RB=.1)
+diff -uNr lib/pnp/2n5401.mod lib/pnp/2n5401.mod
+--- lib/pnp/2n5401.mod 1970-01-01 01:00:00.000000000 +0100
++++ lib/pnp/2n5401.mod 2007-09-04 17:41:08.000000000 +0200
+@@ -0,0 +1,4 @@
++.MODEL 2N5401 PNP(IS=4.61E-13 BF=93 VAF=220 IKF=0.91 ISE=7.54E-11
+++ NE=2 BR=4 VAR=20 IKR=1.36 RB=3.86 RE=0.965 RC=0.386 CJE=5.66E-11 VJE=1.1
+++ MJE=0.5 TF=5.3E-10 CJC=2.36E-11 VJC=0.3 MJC=0.3 TR=3.68E-7 XTB=1.5 )
++
+diff -uNr lib/pnp/bc558.mod lib/pnp/bc558.mod
+--- lib/pnp/bc558.mod 1970-01-01 01:00:00.000000000 +0100
++++ lib/pnp/bc558.mod 2007-09-04 17:41:08.000000000 +0200
+@@ -0,0 +1,4 @@
++.MODEL BC558 PNP(IS=1.15E-14 BF=330 NF=0.9872 VAF=84.56 IKF=0.1
+++ ISE=5E-14 NE=1.4 BR=13 NR=0.996 VAR=8.15 IKR=0.012 ISC=1.43E-14 NC=1.1
+++ RB=0.2 RE=0.4 RC=0.95 CJE=1.6E-11 TF=4.93E-10 CJC=1.05E-11 VJC=0.565
+++ MJC=0.415 TR=7.355E-8)
+diff -uNr lib/pnp/tip42.mod lib/pnp/tip42.mod
+--- lib/pnp/tip42.mod 1970-01-01 01:00:00.000000000 +0100
++++ lib/pnp/tip42.mod 2007-09-04 17:41:08.000000000 +0200
+@@ -0,0 +1,4 @@
++.MODEL TIP42 PNP(IS=66.19f XTI=3 EG=1.11 VAF=100 BF=137.6 ISE=862.2f NE=1.481
+++ IKF=1.642 NK=.5695 XTB=2 BR=5.88 ISC=273.5f NC=1.24 IKR=3.555 RC=79.39m
+++ CJC=870.4p MJC=.6481 VJC=.75 FC=.5 CJE=390.1p MJE=.4343 VJE=.75 TR=235.4n
+++ TF=23.21n ITF=71.33 XTF=5.982 VTF=10 RB=.1)
diff --git a/sci-electronics/gspiceui/files/gspiceui-0.9.99-flags.patch b/sci-electronics/gspiceui/files/gspiceui-0.9.99-flags.patch
new file mode 100644
index 000000000000..5129929dc159
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-0.9.99-flags.patch
@@ -0,0 +1,27 @@
+diff -urN gspiceui-v0.9.99.orig/src/Makefile gspiceui-v0.9.99/src/Makefile
+--- gspiceui-v0.9.99.orig/src/Makefile 2010-04-16 10:43:59.000000000 +0200
++++ gspiceui-v0.9.99/src/Makefile 2010-11-01 00:25:32.000000000 +0100
+@@ -59,13 +59,7 @@
+ INSTALLDIR = /usr/local/bin
+
+ # Compiler options
+-ifeq ($(GSPICEUI_DBG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS := -O -pipe $(shell $(WXCFG) --cxxflags)
+-else
+- # Options for development
+- CXXFLAGS := -Wall -g -pipe $(shell $(WXCFG) --cxxflags)
+-endif
++CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # Includes
+ INCLUDES = -I/usr/include -I/usr/X11R6/include -I.
+@@ -108,7 +102,7 @@
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(CC) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CC) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.0.0-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.0.0-flags.patch
new file mode 100644
index 000000000000..17cc77d8f8b7
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-1.0.0-flags.patch
@@ -0,0 +1,28 @@
+# drop -Ofast option understood only from gcc-4.6 on
+# and make it respect LDFLAGS and CXXFLAGS
+--- src/Makefile.old 2012-02-11 13:29:27.000000000 +0100
++++ src/Makefile 2012-02-11 13:31:13.000000000 +0100
+@@ -59,13 +59,7 @@
+ INSTALLDIR = /usr/local/bin
+
+ # Compiler options
+-ifeq ($(GSPICEUI_DBG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS := -Ofast -pipe $(shell $(WXCFG) --cxxflags)
+-else
+- # Options for development
+- CXXFLAGS := -Wall -g -pipe $(shell $(WXCFG) --cxxflags)
+-endif
++ CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # Includes
+ INCLUDES = -I/usr/include -I/usr/X11R6/include -I.
+@@ -106,7 +100,7 @@
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(CC) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CC) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
diff --git a/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch b/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
new file mode 100644
index 000000000000..60f2acf0477c
--- /dev/null
+++ b/sci-electronics/gspiceui/files/gspiceui-1.1.0-flags.patch
@@ -0,0 +1,26 @@
+--- src/Makefile.old 2015-07-03 18:06:15.000000000 +0200
++++ src/Makefile 2015-07-03 18:08:50.000000000 +0200
+@@ -69,13 +69,7 @@
+ # -Ofast Optimize till it hurts : "-O3" + enable opts not valid for all standard-compliants
+ # -Os Optimize for size
+ # -Og Optimize debugging experience but don't break debugging
+-ifeq ($(GSPICEUI_DBG),0)
+- # Options for release (not using -Wall since it's GCC specific)
+- CXXFLAGS := -O1 -pipe $(shell $(WXCFG) --cxxflags)
+-else
+- # Options for development
+- CXXFLAGS := -g -Og -Wall -Wextra -pipe $(shell $(WXCFG) --cxxflags)
+-endif
++ CXXFLAGS += $(shell $(WXCFG) --cxxflags)
+
+ # The following suppresses spurious warnings from gcc with wxWidgets v2.8.12
+ ifeq ($(GSPICEUI_WXLIB),2.8)
+@@ -134,7 +128,7 @@
+ # -o specify the output file name
+
+ $(BINDIR)/$(PROG) : $(OBJS)
+- $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LIBS)
++ $(CXX) -pipe -o $(BINDIR)/$(PROG) obj/*.o $(LDFLAGS) $(LIBS)
+ ifeq ($(ROOT)/GSpiceUI.app,$(wildcard $(ROOT)/GSpiceUI.app))
+ cp $(BINDIR)/$(PROG) $(ROOT)/GSpiceUI.app/Contents/MacOS/gspiceui
+ endif
diff --git a/sci-electronics/gspiceui/gspiceui-0.9.99-r1.ebuild b/sci-electronics/gspiceui/gspiceui-0.9.99-r1.ebuild
new file mode 100644
index 000000000000..7bf15c424c35
--- /dev/null
+++ b/sci-electronics/gspiceui/gspiceui-0.9.99-r1.ebuild
@@ -0,0 +1,69 @@
+# Copyright 1999-2011 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+WX_GTK_VER="2.8"
+inherit eutils wxwidgets
+
+MY_P="${PN}-v${PV}"
+
+DESCRIPTION="GUI frontend for Ngspice and Gnucap"
+HOMEPAGE="http://www.geda.seul.org/tools/gspiceui/"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="examples schematics waveform"
+
+DEPEND="x11-libs/wxGTK:2.8[X]
+ sci-electronics/electronics-menu"
+RDEPEND="${DEPEND}
+ || ( sci-electronics/ngspice sci-electronics/gnucap )
+ waveform? ( sci-electronics/gwave )
+ schematics? ( sci-electronics/geda )"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ # Use Gentoo LDFLAGS and CXXFLAGS
+ epatch "${FILESDIR}/${P}-flags.patch"
+ # Add missing models for examples
+ epatch "${FILESDIR}"/${P}-examples.patch
+
+ # Adjusting the doc path at src/main/HelpTasks.cpp
+ sed -i -e \
+ "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
+ src/main/HelpTasks.cpp \
+ || die "Patching src/main/HelpTasks.cpp failed"
+}
+
+src_install() {
+ dobin bin/gspiceui || die
+ dodoc ChangeLog ToDo || die
+ doman gspiceui.1 || die
+ newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm || die
+
+ dohtml html/*.html html/*.jpeg || die
+
+ # installing examples and according model and symbol files
+ if use examples ; then
+ insinto /usr/share/doc/${PF}/sch
+ doins -r sch/* || die
+ insinto /usr/share/doc/${PF}/lib
+ doins -r lib/* || die
+ fi
+
+ make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
+}
+
+pkg_postinst() {
+ if use examples ; then
+ elog "If you want to use the examples, copy from"
+ elog "/usr/share/doc/${PF} the sch and lib directory"
+ elog "side by side to your home directory to be able"
+ elog "to generate the netlists as normal user."
+ fi
+}
diff --git a/sci-electronics/gspiceui/gspiceui-0.9.99.ebuild b/sci-electronics/gspiceui/gspiceui-0.9.99.ebuild
new file mode 100644
index 000000000000..fc0f2fddb1f1
--- /dev/null
+++ b/sci-electronics/gspiceui/gspiceui-0.9.99.ebuild
@@ -0,0 +1,67 @@
+# Copyright 1999-2011 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+WX_GTK_VER="2.8"
+inherit eutils wxwidgets
+
+MY_P="${PN}-v${PV}"
+
+DESCRIPTION="GUI frontend for Ngspice and Gnucap"
+HOMEPAGE="http://www.geda.seul.org/tools/gspiceui/"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="examples schematics waveform"
+
+DEPEND="x11-libs/wxGTK:2.8[X]
+ sci-electronics/electronics-menu"
+RDEPEND="${DEPEND}
+ || ( sci-electronics/ngspice sci-electronics/gnucap )
+ waveform? ( sci-electronics/gwave )
+ schematics? ( sci-electronics/geda )"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ # Use Gentoo LDFLAGS and CXXFLAGS
+ epatch "${FILESDIR}/${P}-flags.patch"
+
+ # Adjusting the doc path at src/main/HelpTasks.cpp
+ sed -i \
+ -e "s:/share/gspiceui/html/gSpiceUI.html:/share/doc/${PF}/html/gSpiceUI.html:" \
+ src/main/HelpTasks.cpp \
+ || die "Patching src/main/HelpTasks.cpp failed"
+}
+
+src_install() {
+ dobin bin/gspiceui || die
+ dodoc ChangeLog ToDo || die
+ doman gspiceui.1 || die
+ newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm || die
+
+ dohtml html/*.html html/*.jpeg || die
+
+ # installing examples and according model and symbol files
+ if use examples ; then
+ insinto /usr/share/doc/${PF}/sch
+ doins -r sch/* || die
+ insinto /usr/share/doc/${PF}/lib
+ doins -r lib/* || die
+ fi
+
+ make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
+}
+
+pkg_postinst() {
+ if use examples ; then
+ elog "If you want to use the examples, copy from"
+ elog "/usr/share/doc/${PF} the sch and lib directory"
+ elog "side by side to your home directory to be able"
+ elog "to generate the netlists as normal user."
+ fi
+}
diff --git a/sci-electronics/gspiceui/gspiceui-1.0.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.0.0.ebuild
new file mode 100644
index 000000000000..0fe15fdc4850
--- /dev/null
+++ b/sci-electronics/gspiceui/gspiceui-1.0.0.ebuild
@@ -0,0 +1,66 @@
+# Copyright 1999-2012 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+WX_GTK_VER="2.8"
+inherit eutils wxwidgets
+
+MY_P="${PN}-v${PV}0"
+
+DESCRIPTION="GUI frontend for Ngspice and Gnucap"
+HOMEPAGE="http://www.geda.seul.org/tools/gspiceui/"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="examples schematics waveform"
+
+DEPEND="x11-libs/wxGTK:2.8[X]
+ sci-electronics/electronics-menu"
+RDEPEND="${DEPEND}
+ || ( sci-electronics/ngspice sci-electronics/gnucap )
+ waveform? ( sci-electronics/gwave )
+ schematics? ( sci-electronics/geda )"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ # Use Gentoo LDFLAGS and CXXFLAGS
+ epatch "${FILESDIR}/${P}-flags.patch"
+
+ # Adjusting the doc path at src/main/HelpTasks.cpp
+ sed -i -e \
+ "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
+ src/main/HelpTasks.cpp || die
+}
+
+src_install() {
+ dobin bin/gspiceui || die
+ dodoc ChangeLog ReadMe ToDo || die
+ doman gspiceui.1 || die
+ newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm || die
+
+ dohtml html/*.html html/*.jpg || die
+
+ # installing examples and according model and symbol files
+ if use examples ; then
+ insinto /usr/share/doc/${PF}/sch
+ doins -r sch/* || die
+ insinto /usr/share/doc/${PF}/lib
+ doins -r lib/* || die
+ fi
+
+ make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
+}
+
+pkg_postinst() {
+ if use examples ; then
+ elog "If you want to use the examples, copy from"
+ elog "/usr/share/doc/${PF} the sch and lib directory"
+ elog "side by side to your home directory to be able"
+ elog "to generate the netlists as normal user."
+ fi
+}
diff --git a/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
new file mode 100644
index 000000000000..0133e3c13c2a
--- /dev/null
+++ b/sci-electronics/gspiceui/gspiceui-1.1.0.ebuild
@@ -0,0 +1,76 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+WX_GTK_VER="3.0"
+inherit eutils flag-o-matic toolchain-funcs wxwidgets
+
+MY_P="${PN}-v${PV}0"
+
+DESCRIPTION="GUI frontend for Ngspice and Gnucap"
+HOMEPAGE="http://www.geda.seul.org/tools/gspiceui/"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+
+LICENSE="GPL-3"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="examples schematics waveform"
+
+DEPEND="x11-libs/wxGTK:3.0[X]
+ sci-electronics/electronics-menu"
+RDEPEND="${DEPEND}
+ || ( sci-electronics/ngspice sci-electronics/gnucap )
+ waveform? ( sci-electronics/gwave )
+ schematics? ( sci-electronics/geda )"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ # Use Gentoo LDFLAGS and CXXFLAGS
+ epatch "${FILESDIR}/${P}-flags.patch"
+
+ # Adjusting the doc path at src/main/HelpTasks.cpp
+ sed -i -e \
+ "s:/share/gspiceui/html/User-Manual.html:/share/doc/${PF}/html/User-Manual.html:g" \
+ src/main/HelpTasks.cpp || die
+
+ # Adjusting call to gwave program
+ sed -i -e "s/gwave2/gwave/g" src/TypeDefs.hpp || die
+
+ # bug 553968
+ replace-flags -O? -O1
+}
+
+src_compile() {
+ emake CXX=$(tc-getCXX)
+}
+
+src_install() {
+ dobin bin/gspiceui
+ dodoc ChangeLog ReadMe ToDo release-notes-v1.1.00.txt
+ doman gspiceui.1
+ newicon src/icons/gspiceui-48x48.xpm gspiceui.xpm
+
+ dohtml html/*.html html/*.jpg html/*.png
+
+ # installing examples and according model and symbol files
+ if use examples ; then
+ insinto /usr/share/doc/${PF}/sch
+ doins -r sch/*
+ insinto /usr/share/doc/${PF}/lib
+ doins -r lib/*
+ fi
+
+ make_desktop_entry gspiceui "GNU Spice GUI" gspiceui "Electronics"
+}
+
+pkg_postinst() {
+ if use examples ; then
+ elog "If you want to use the examples, copy and extract from"
+ elog "/usr/share/doc/${PF} the sch and lib directory"
+ elog "side by side to your home directory to be able"
+ elog "to generate the netlists as normal user."
+ fi
+}
diff --git a/sci-electronics/gspiceui/metadata.xml b/sci-electronics/gspiceui/metadata.xml
new file mode 100644
index 000000000000..8af905c02f4a
--- /dev/null
+++ b/sci-electronics/gspiceui/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <use>
+ <flag name="schematics">Use <pkg>sci-electronics/geda</pkg> for schematics editing</flag>
+ <flag name="waveform">Use <pkg>sci-electronics/gwave</pkg> for waveform display</flag>
+ </use>
+ <upstream>
+ <remote-id type="sourceforge">gspiceui</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/gtkwave/Manifest b/sci-electronics/gtkwave/Manifest
new file mode 100644
index 000000000000..17cc3830cb48
--- /dev/null
+++ b/sci-electronics/gtkwave/Manifest
@@ -0,0 +1,5 @@
+DIST gtkwave-3.3.45.tar.gz 3809190 SHA256 aad2619b4100911d05af97a2046f6c311fe2f75fe036bd1946974dc2edc9a791 SHA512 7a78607aca4e33c6d00b0ba78b563966544b0a1674e3fa0eed4d1333dad53edc18ef15528d2913e00d4d6776eabb275116950da1a59d82b98c2d29f4c6d713cd WHIRLPOOL a41b992f987a4154c2d742ef3ac908865689b04f77e2eb2ca3284bb06d00b26f8b4a75e6bf3f1d3740f790586eb900581b049a43839557d45d78879a5967a697
+DIST gtkwave-3.3.47.tar.gz 3832052 SHA256 b39c018f668070a0fdd3906c73a9add4ccbffd3edbb926ed9b49fc5e3cf35f62 SHA512 61c0a3ce75ec6b14446ab17ca9c6f39d243443d941659f6fe734ae52d22d8b117151989c1944e611389b1a0c48b0ba6f96b85df130d6d7d118aa809c148270a7 WHIRLPOOL bceb4d2aba756c63ea6604f60cd56d5a94e689fe493b53ec40062fc5309d68a6c1680853ea64891a7ef2b8af5ac570a1b28b78cbdc34173cbf7a70e007f89d56
+DIST gtkwave-3.3.59.tar.gz 4083000 SHA256 caba829abdbc4a4df7cc932695aed87037d656f62cd5831b531d13453034a984 SHA512 5def8eef767b292bc1e09dc7b5a7151b6f492b6e5b744e1b8e563ac3731073721fdce180b85bbc2c8dd7ecaf757a9a67bd3a809c55e522befa74d5d74f815b3d WHIRLPOOL 0b2b4ee8cc6d59eb335c805535b40439bd8034b62b693c9ceb7f868fcee97ed7a3075b00eb9ab36cbe9b475c5134c0d75a022da8bd99e77db34e48d91a241cfc
+DIST gtkwave-3.3.64.tar.gz 4090345 SHA256 dae956b3e55dc9b5d2bafe11fcf9b8606870d76f0719202fd04b678160d37115 SHA512 34150741942d2b82a5f937cf12b1793e04aea0444acaf4a4ba1c61e5bb70727d5990438833ee4d25fef81362929d0607ebd7fddb8fd178f437cafdf9c09e989f WHIRLPOOL 137eb908d004a1305d12b96b06d589525f82d69172d87434bb06fd5a8ff05e7bfc0f0d0746e9470337c636d5ce16e410d78b8b01d923bf3a4ed1030d71c89373
+DIST gtkwave-3.3.65.tar.gz 4092871 SHA256 64eb091e70c83bf03df14e01d338151e888fb4099c4695c2e6e40ce27d249bd5 SHA512 5aba36c9b9ff6353115ce5660b3dd61fba96ef2bc420483e194ad7a0d97b6f0a4453db20188c12af1c333ecbeee43f7ebe5b4bd166864a003995687a497a14ff WHIRLPOOL e5aac94e9c65aa1bedffa3febac38402f51c4c84237f39d703a9f1860a981dae308109935add36f4f0881ce7c491f2aaac0b52c54bc85ca025c9518d901df344
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.45.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.45.ebuild
new file mode 100644
index 000000000000..47eb51c0b916
--- /dev/null
+++ b/sci-electronics/gtkwave/gtkwave-3.3.45.ebuild
@@ -0,0 +1,75 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit eutils fdo-mime toolchain-funcs
+
+DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
+HOMEPAGE="http://gtkwave.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+IUSE="doc examples fasttree fatlines judy lzma packed tcl"
+LICENSE="GPL-2 MIT"
+SLOT="0"
+KEYWORDS="amd64 ppc x86 ~amd64-linux ~x86-linux"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2
+ x11-libs/pango
+ sys-libs/zlib
+ judy? ( dev-libs/judy )
+ tcl? ( dev-lang/tcl:0 dev-lang/tk:0 )
+ lzma? ( app-arch/xz-utils )"
+
+DEPEND="${RDEPEND}
+ virtual/pkgconfig
+ dev-util/gperf"
+
+AT_M4DIR="${S}"
+
+src_prepare(){
+ # do not install doc and examples by default
+ sed -i -e 's/doc examples//' Makefile.in || die
+}
+
+src_configure(){
+ econf --disable-local-libz \
+ --disable-local-libbz2 \
+ --disable-mime-update \
+ --enable-largefile \
+ $(use_enable packed struct-pack) \
+ $(use_enable fatlines) \
+ $(use_enable tcl) \
+ $(use_enable lzma xz) \
+ $(use_enable fasttree) \
+ $(use_enable judy)
+}
+
+src_compile() {
+ emake AR=$(tc-getAR)
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc ANALOG_README.TXT SYSTEMVERILOG_README.TXT CHANGELOG.TXT
+ if use doc ; then
+ insinto /usr/share/doc/${PF}
+ doins "doc/${PN}.odt"
+ fi
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.47.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.47.ebuild
new file mode 100644
index 000000000000..ba4278c169fd
--- /dev/null
+++ b/sci-electronics/gtkwave/gtkwave-3.3.47.ebuild
@@ -0,0 +1,75 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit eutils fdo-mime toolchain-funcs
+
+DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
+HOMEPAGE="http://gtkwave.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+IUSE="doc examples fasttree fatlines judy lzma packed tcl"
+LICENSE="GPL-2 MIT"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2
+ x11-libs/pango
+ sys-libs/zlib
+ judy? ( dev-libs/judy )
+ tcl? ( dev-lang/tcl:0 dev-lang/tk:0 )
+ lzma? ( app-arch/xz-utils )"
+
+DEPEND="${RDEPEND}
+ virtual/pkgconfig
+ dev-util/gperf"
+
+AT_M4DIR="${S}"
+
+src_prepare(){
+ # do not install doc and examples by default
+ sed -i -e 's/doc examples//' Makefile.in || die
+}
+
+src_configure(){
+ econf --disable-local-libz \
+ --disable-local-libbz2 \
+ --disable-mime-update \
+ --enable-largefile \
+ $(use_enable packed struct-pack) \
+ $(use_enable fatlines) \
+ $(use_enable tcl) \
+ $(use_enable lzma xz) \
+ $(use_enable fasttree) \
+ $(use_enable judy)
+}
+
+src_compile() {
+ emake AR=$(tc-getAR)
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc ANALOG_README.TXT ChangeLog README SYSTEMVERILOG_README.TXT
+ if use doc ; then
+ insinto /usr/share/doc/${PF}
+ doins "doc/${PN}.odt"
+ fi
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.59.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.59.ebuild
new file mode 100644
index 000000000000..7d3d292a23b2
--- /dev/null
+++ b/sci-electronics/gtkwave/gtkwave-3.3.59.ebuild
@@ -0,0 +1,75 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit eutils fdo-mime toolchain-funcs
+
+DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
+HOMEPAGE="http://gtkwave.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+IUSE="doc examples fasttree fatlines judy lzma packed tcl"
+LICENSE="GPL-2 MIT"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2
+ x11-libs/pango
+ sys-libs/zlib
+ judy? ( dev-libs/judy )
+ tcl? ( dev-lang/tcl:0 dev-lang/tk:0 )
+ lzma? ( app-arch/xz-utils )"
+
+DEPEND="${RDEPEND}
+ virtual/pkgconfig
+ dev-util/gperf"
+
+AT_M4DIR="${S}"
+
+src_prepare(){
+ # do not install doc and examples by default
+ sed -i -e 's/doc examples//' Makefile.in || die
+}
+
+src_configure(){
+ econf --disable-local-libz \
+ --disable-local-libbz2 \
+ --disable-mime-update \
+ --enable-largefile \
+ $(use_enable packed struct-pack) \
+ $(use_enable fatlines) \
+ $(use_enable tcl) \
+ $(use_enable lzma xz) \
+ $(use_enable fasttree) \
+ $(use_enable judy)
+}
+
+src_compile() {
+ emake AR=$(tc-getAR)
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc ChangeLog README
+ if use doc ; then
+ insinto /usr/share/doc/${PF}
+ doins "doc/${PN}.odt"
+ fi
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.64.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.64.ebuild
new file mode 100644
index 000000000000..7d3d292a23b2
--- /dev/null
+++ b/sci-electronics/gtkwave/gtkwave-3.3.64.ebuild
@@ -0,0 +1,75 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit eutils fdo-mime toolchain-funcs
+
+DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
+HOMEPAGE="http://gtkwave.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+IUSE="doc examples fasttree fatlines judy lzma packed tcl"
+LICENSE="GPL-2 MIT"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2
+ x11-libs/pango
+ sys-libs/zlib
+ judy? ( dev-libs/judy )
+ tcl? ( dev-lang/tcl:0 dev-lang/tk:0 )
+ lzma? ( app-arch/xz-utils )"
+
+DEPEND="${RDEPEND}
+ virtual/pkgconfig
+ dev-util/gperf"
+
+AT_M4DIR="${S}"
+
+src_prepare(){
+ # do not install doc and examples by default
+ sed -i -e 's/doc examples//' Makefile.in || die
+}
+
+src_configure(){
+ econf --disable-local-libz \
+ --disable-local-libbz2 \
+ --disable-mime-update \
+ --enable-largefile \
+ $(use_enable packed struct-pack) \
+ $(use_enable fatlines) \
+ $(use_enable tcl) \
+ $(use_enable lzma xz) \
+ $(use_enable fasttree) \
+ $(use_enable judy)
+}
+
+src_compile() {
+ emake AR=$(tc-getAR)
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc ChangeLog README
+ if use doc ; then
+ insinto /usr/share/doc/${PF}
+ doins "doc/${PN}.odt"
+ fi
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
diff --git a/sci-electronics/gtkwave/gtkwave-3.3.65.ebuild b/sci-electronics/gtkwave/gtkwave-3.3.65.ebuild
new file mode 100644
index 000000000000..a83cf14427bf
--- /dev/null
+++ b/sci-electronics/gtkwave/gtkwave-3.3.65.ebuild
@@ -0,0 +1,75 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit eutils fdo-mime toolchain-funcs
+
+DESCRIPTION="A wave viewer for LXT, LXT2, VZT, GHW and standard Verilog VCD/EVCD files"
+HOMEPAGE="http://gtkwave.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+IUSE="doc examples fasttree fatlines judy lzma packed tcl"
+LICENSE="GPL-2 MIT"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86 ~amd64-linux ~x86-linux"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2
+ x11-libs/pango
+ sys-libs/zlib
+ judy? ( dev-libs/judy )
+ tcl? ( dev-lang/tcl:0 dev-lang/tk:0 )
+ lzma? ( app-arch/xz-utils )"
+
+DEPEND="${RDEPEND}
+ virtual/pkgconfig
+ dev-util/gperf"
+
+AT_M4DIR="${S}"
+
+src_prepare(){
+ # do not install doc and examples by default
+ sed -i -e 's/doc examples//' Makefile.in || die
+}
+
+src_configure(){
+ econf --disable-local-libz \
+ --disable-local-libbz2 \
+ --disable-mime-update \
+ --enable-largefile \
+ $(use_enable packed struct-pack) \
+ $(use_enable fatlines) \
+ $(use_enable tcl) \
+ $(use_enable lzma xz) \
+ $(use_enable fasttree) \
+ $(use_enable judy)
+}
+
+src_compile() {
+ emake AR=$(tc-getAR)
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc ChangeLog README
+ if use doc ; then
+ insinto /usr/share/doc/${PF}
+ doins "doc/${PN}.odt"
+ fi
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+}
diff --git a/sci-electronics/gtkwave/metadata.xml b/sci-electronics/gtkwave/metadata.xml
new file mode 100644
index 000000000000..8167c590aa91
--- /dev/null
+++ b/sci-electronics/gtkwave/metadata.xml
@@ -0,0 +1,19 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which
+ reads LXT, LXT2, VZT, and GHW files as well as standard Verilog VCD/EVCD
+ files and allows their viewing.
+ </longdescription>
+ <use>
+ <flag name="fasttree">Enables experimental Fast SST Tree widget code.</flag>
+ <flag name="fatlines">Renders lines as double width in gtkwave.</flag>
+ <flag name="judy">Enables Judy array support.</flag>
+ <flag name="packed">Enables '#pragma pack' for data arrays (saves memory, costs time).</flag>
+ </use>
+ <upstream>
+ <remote-id type="sourceforge">gtkwave</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/gwave/Manifest b/sci-electronics/gwave/Manifest
new file mode 100644
index 000000000000..920b52616150
--- /dev/null
+++ b/sci-electronics/gwave/Manifest
@@ -0,0 +1 @@
+DIST gwave2-20090213.tar.gz 403957 SHA256 464c7bc6f2bb84413959584b4ed08b3391361fd7524fc93f7fd1c1cde370ea7f SHA512 9b39ed2923901af18253abe8e3ae1a6f71a660e31181dce31b0d784eb1dd0800a31ff48158b2c68555fcd268029e20295e87626e8e1d4cebc236b3863fe25e8c WHIRLPOOL dd6dff26731063d23bea70129145e3551593b242f26145e77e2c61734a5e946fd01f951f007cd90093e77aebf969af149da750aab93692697e25e750fb10b247
diff --git a/sci-electronics/gwave/files/gwave-20090213-as-needed.patch b/sci-electronics/gwave/files/gwave-20090213-as-needed.patch
new file mode 100644
index 000000000000..7ec72ed2aab7
--- /dev/null
+++ b/sci-electronics/gwave/files/gwave-20090213-as-needed.patch
@@ -0,0 +1,54 @@
+--- gwave2-20090213.orig/src/Makefile.am 2008-01-22 05:36:59.000000000 +0100
++++ gwave2-20090213/src/Makefile.am 2010-02-06 18:10:38.011218381 +0100
+@@ -15,8 +15,7 @@
+ rgeval.c xgserver.c measurebtn.c measurebtn.h \
+ GtkTable_indel.c GtkTable_indel.h xsnarf.h
+
+-gwave_LDADD = ../spicefile/libspicefile.a @GTK_LIBS@ @GUILE_GNOME_LIBS@
+-gwave_LDFLAGS = @GUILE_LDFLAGS@
++gwave_LDADD = ../spicefile/libspicefile.a @GTK_LIBS@ @GUILE_GNOME_LIBS@ @GUILE_LDFLAGS@
+
+ AM_CFLAGS = @GTK_CFLAGS@ @GUILE_CFLAGS@ @GUILE_GNOME_CFLAGS@ \
+ -DDATADIR=\"$(datadir)\" -DBINGWAVE=\"$(bindir)/gwave\"
+--- gwave2-20090213.orig/spicefile/Makefile.am 2008-01-22 05:31:45.000000000 +0100
++++ gwave2-20090213/spicefile/Makefile.am 2010-02-06 18:11:53.008964326 +0100
+@@ -10,11 +10,9 @@
+
+ noinst_PROGRAMS = test_read
+ test_read_SOURCES = test_read.c
+-test_read_LDFLAGS = @GTK_LIBS@
+-test_read_LDADD = libspicefile.a
++test_read_LDADD = libspicefile.a @GTK_LIBS@
+
+ bin_PROGRAMS=sp2sp
+ sp2sp_SOURCES=sp2sp.c
+-sp2sp_LDFLAGS= @GTK_LIBS@
+-sp2sp_LDADD= libspicefile.a
++sp2sp_LDADD= libspicefile.a @GTK_LIBS@
+
+--- gwave2-20090213.orig/configure.ac 2009-02-13 06:00:57.000000000 +0100
++++ gwave2-20090213/configure.ac 2010-02-06 18:23:11.522964311 +0100
+@@ -84,7 +84,8 @@
+ dnl GUILE_MODULE_REQUIRED(gnome gtk)
+
+ PKG_CHECK_MODULES(GUILE_GNOME, guile-gnome-gtk-2, ,AC_MSG_ERROR(Can not find Guile-gnome-platform))
+-
++PKG_CHECK_MODULES([X11], [x11])
++PKG_CHECK_MODULES([GLIB], [glib-2.0])
+ dnl remind myself how to check for somthing I know exists
+ dnl GUILE_MODULE_EXPORTS(foo_widget_show, (gnome-0)(gnome gtk),gtk-widget-show)
+ dnl if test "$foo_widget_show" = yes; then
+--- gwave2-20090213.orig/remote/Makefile.am 2010-02-06 18:33:14.340215309 +0100
++++ gwave2-20090213/remote/Makefile.am 2010-02-06 18:34:19.810967947 +0100
+@@ -4,7 +4,9 @@
+ AM_CFLAGS = @GTK_CFLAGS@
+ bin_PROGRAMS=gwave-exec gwaverepl
+ gwave_exec_SOURCES=gwave-exec.c xgclient.c xgexec.h
+-gwave_exec_LDADD= @GTK_LIBS@
++gwave_exec_CFLAGS= @X11_CFLAGS@
++gwave_exec_LDADD= @GTK_LIBS@ @X11_LIBS@
+
+ gwaverepl_SOURCES=gwaverepl.c xgclient.c xgexec.h repllib.c
+-gwaverepl_LDADD= @GTK_LIBS@ @READLINE_LIB@
++gwaverepl_CFLAGS= @X11_CFLAGS@ @GLIB_CFLAGS@
++gwaverepl_LDADD= @GTK_LIBS@ @READLINE_LIB@ @X11_LIBS@ @GLIB_LIBS@
diff --git a/sci-electronics/gwave/gwave-20090213-r1.ebuild b/sci-electronics/gwave/gwave-20090213-r1.ebuild
new file mode 100644
index 000000000000..9380d681749f
--- /dev/null
+++ b/sci-electronics/gwave/gwave-20090213-r1.ebuild
@@ -0,0 +1,58 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit autotools eutils flag-o-matic fdo-mime gnome2-utils
+
+MY_PN="gwave2"
+MY_P="${MY_PN}-${PV}"
+
+DESCRIPTION="Analog waveform viewer for SPICE-like simulations"
+LICENSE="GPL-2"
+HOMEPAGE="http://gwave.sourceforge.net"
+SRC_URI="mirror://sourceforge/${PN}/${MY_P}.tar.gz"
+
+KEYWORDS="amd64 ppc x86"
+IUSE="gnuplot plotutils"
+SLOT="0"
+
+DEPEND="=dev-scheme/guile-1.8*[networking]
+ =dev-scheme/guile-gnome-platform-2.16*"
+
+RDEPEND="${DEPEND}
+ sci-electronics/electronics-menu
+ gnuplot? ( sci-visualization/gnuplot )
+ plotutils? ( media-libs/plotutils )"
+
+S="${WORKDIR}/${MY_P}"
+
+src_prepare() {
+ append-libs -lX11
+ epatch "${FILESDIR}"/${P}-as-needed.patch
+ eautoreconf
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+ dodoc AUTHORS NEWS README TODO
+ newicon icons/wave-drag-ok.xpm gwave.xpm
+ make_desktop_entry gwave "Gwave" gwave "Electronics"
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/gwave/metadata.xml b/sci-electronics/gwave/metadata.xml
new file mode 100644
index 000000000000..abb9bb11811b
--- /dev/null
+++ b/sci-electronics/gwave/metadata.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Gwave is a waveform viewer. Gwave can read binary or ascii files written by
+ HSpice from transient, AC, or Sweep analyses, "raw" files written by Spice2,
+ Spice3, or ngspice, and transient analysis files from the CAzM simulator. It
+ can also read a generic tabular ASCII format suitable for use with GnuCap or
+ homegrown tools.
+ </longdescription>
+ <upstream>
+ <remote-id type="sourceforge">gwave</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/irsim/Manifest b/sci-electronics/irsim/Manifest
new file mode 100644
index 000000000000..a443cc8ff129
--- /dev/null
+++ b/sci-electronics/irsim/Manifest
@@ -0,0 +1,2 @@
+DIST irsim-9.7.79.tgz 471749 SHA256 48f639b0893eaf116d0d248b32fbc884fe5eceaba57499f22ee61e6e7c44b7eb SHA512 a5bbf9757ff1c6e74f36725e9da8d16cebff7a3a77174f37b3e2a2239afc839ac7165214d221c44d437fa07f5544e01969e0cad4e205067a04c7e37c8d3c1969 WHIRLPOOL 822f78763e60ae3edf41d896036a93a38696f84ea6274ed011a83263fb79b61201f2c9d86f2ad2559c328f9b3cb4cfbcabc067070251b3757bc823d6175debe0
+DIST irsim-9.7.87.tgz 459249 SHA256 528e8daa9afa63ba81425fc679b786228e314f45b64f03f67150332f0fd7bff6 SHA512 2399c41d22db146ce4d87019476f975386aef62afd831bc245ff8d8fc5c88a256712c31a04b58cacf28602c00273ed4623adffc2eddb4c7b533e7eb0894a9651 WHIRLPOOL e469b0d7015e735867fa0d90e3a2c961f73d8cf5a554dd70011ea634f4031b061a48fcce5587a2d941a4aea05057c6dda0a8d7b0ec09c9f27bf972f418b3d22f
diff --git a/sci-electronics/irsim/files/irsim-9.7.72-ldflags.patch b/sci-electronics/irsim/files/irsim-9.7.72-ldflags.patch
new file mode 100644
index 000000000000..d219415eb5e8
--- /dev/null
+++ b/sci-electronics/irsim/files/irsim-9.7.72-ldflags.patch
@@ -0,0 +1,44 @@
+--- tcltk/Makefile.old 2011-04-26 17:40:36.000000000 +0000
++++ tcltk/Makefile 2011-04-26 17:41:05.000000000 +0000
+@@ -26,7 +26,7 @@
+ ${CP} irsimexec $(DESTDIR)${TCLDIR}/irsimexec
+
+ irsimexec: irsimexec.c tclirsim.o
+- ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} irsimexec.c -o irsimexec \
++ ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} ${LDFLAGS} irsimexec.c -o irsimexec \
+ ${LIBS} ${LIB_SPECS}
+
+ irsim.tcl: irsim.tcl.in
+--- irsim/Makefile.old 2011-04-26 17:46:38.000000000 +0000
++++ irsim/Makefile 2011-04-26 17:47:21.000000000 +0000
+@@ -22,7 +22,7 @@
+ tclirsim${SHDLIB_EXT}: ${EXTRA_LIBS}
+ @echo --- making irsim Tcl library \(tclirsim${SHDLIB_EXT}\)
+ ${RM} tclirsim${SHDLIB_EXT}
+- ${CC} ${CFLAGS} ${CPPFLAGS} -o $@ ${LDDL_FLAGS} ${LD_RUN_PATH} \
++ ${CC} ${CFLAGS} ${CPPFLAGS} ${LDFLAGS} -o $@ ${LDDL_FLAGS} ${LD_RUN_PATH} \
+ ${EXTRA_LIBS} -lc ${LIBS} ${LD_EXTRA_LIBS}
+
+ $(DESTDIR)${BINDIR}/irsim:
+--- random/Makefile.old 2011-04-26 17:48:46.000000000 +0000
++++ random/Makefile 2011-04-26 17:49:02.000000000 +0000
+@@ -21,7 +21,7 @@
+ random${SHDLIB_EXT}: randwrap.o
+ @echo --- making random Tcl library
+ ${RM} random${SHDLIB_EXT}
+- ${CC} ${CFLAGS} ${CPPFLAGS} -o $@ ${LDDL_FLAGS} randwrap.o \
++ ${CC} ${CFLAGS} ${CPPFLAGS} ${LDFLAGS} -o $@ ${LDDL_FLAGS} randwrap.o \
+ ${MAC_SHARED} -lc ${LIBS} ${LD_EXTRA_LIBS}
+
+ install-tcl: $(DESTDIR)${TCLDIR}/random${SHDLIB_EXT}
+--- tclsubckt/Makefile.old 2011-04-26 17:50:23.000000000 +0000
++++ tclsubckt/Makefile 2011-04-26 17:50:45.000000000 +0000
+@@ -22,7 +22,7 @@
+ diglib${SHDLIB_EXT}: diglib.o
+ @echo --- making diglib subcircuit shared library
+ ${RM} diglib${SHDLIB_EXT}
+- ${CC} ${CFLAGS} ${CPPFLAGS} -o $@ ${LDDL_FLAGS} diglib.o \
++ ${CC} ${CFLAGS} ${CPPFLAGS} ${LDFLAGS} -o $@ ${LDDL_FLAGS} diglib.o \
+ ${MAC_SHARED} -lc ${LIBS} ${LD_EXTRA_LIBS}
+
+ install-tcl: $(DESTDIR)${TCLDIR}/diglib${SHDLIB_EXT}
diff --git a/sci-electronics/irsim/files/irsim-9.7.79-datadir.patch b/sci-electronics/irsim/files/irsim-9.7.79-datadir.patch
new file mode 100644
index 000000000000..ca4fd4628575
--- /dev/null
+++ b/sci-electronics/irsim/files/irsim-9.7.79-datadir.patch
@@ -0,0 +1,23 @@
+--- irsim-9.7.79/scripts/defs.mak.in
++++ irsim-9.7.79/scripts/defs.mak.in
+@@ -12,6 +12,7 @@
+ exec_prefix = @exec_prefix@
+ bindir = @bindir@
+ libdir = @libdir@
++datadir = @datadir@
+ mandir = @mandir@
+
+ VERSION = @VERSION@
+@@ -33,9 +34,9 @@
+ LIBDIR = ${libdir}
+ DOCDIR = ${libdir}/irsim/doc
+ SYSDIR = ${libdir}/irsim/sys
+-PRMDIR = ${libdir}/irsim/prm
+-TCLDIR = ${libdir}/irsim/tcl
+-XBMDIR = ${libdir}/irsim/tcl/bitmaps
++PRMDIR = ${datadir}/irsim/prm
++TCLDIR = ${libdir}/irsim/tcl
++XBMDIR = ${libdir}/irsim/tcl/bitmaps
+
+ MAIN_EXTRA_LIBS = @extra_libs@
+ LD_EXTRA_LIBS = @ld_extra_libs@
diff --git a/sci-electronics/irsim/irsim-9.7.79.ebuild b/sci-electronics/irsim/irsim-9.7.79.ebuild
new file mode 100644
index 000000000000..71ae0641b53f
--- /dev/null
+++ b/sci-electronics/irsim/irsim-9.7.79.ebuild
@@ -0,0 +1,54 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils multilib
+
+DESCRIPTION="IRSIM is a \"switch-level\" simulator"
+HOMEPAGE="http://opencircuitdesign.com/irsim/"
+SRC_URI="http://opencircuitdesign.com/irsim/archive/${P}.tgz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="dev-lang/tcl:0
+ dev-lang/tk:0"
+DEPEND="${RDEPEND}
+ app-shells/tcsh"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${PN}-9.7.72-ldflags.patch
+ epatch "${FILESDIR}"/${PN}-9.7.79-datadir.patch
+ sed -e "s:/usr/bin/:${EPREFIX}/usr/bin/:" \
+ -e "s:/usr/local/lib/:${EPREFIX}/usr/$(get_libdir)/:" \
+ -i tcltk/irsim.sh \
+ -i tcltk/irsim.tcl || die
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ cd scripts
+ #tc-export CPP
+ econf
+}
+
+src_install() {
+ emake DESTDIR="${D}" DOCDIR=/usr/share/doc/${PF} install
+ dodoc README
+}
+
+pkg_postinst() {
+ einfo
+ einfo "You will probably need to add to your ~/.Xdefaults"
+ einfo "the following line:"
+ einfo "irsim.background: black"
+ einfo
+ einfo "This is needed because Gentoo from default sets a"
+ einfo "grey background which makes impossible to see the"
+ einfo "simulation (white line on light gray background)."
+ einfo
+}
diff --git a/sci-electronics/irsim/irsim-9.7.87.ebuild b/sci-electronics/irsim/irsim-9.7.87.ebuild
new file mode 100644
index 000000000000..1560f8c9c61a
--- /dev/null
+++ b/sci-electronics/irsim/irsim-9.7.87.ebuild
@@ -0,0 +1,50 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils multilib
+
+DESCRIPTION="IRSIM is a \"switch-level\" simulator"
+HOMEPAGE="http://opencircuitdesign.com/irsim/"
+SRC_URI="http://opencircuitdesign.com/irsim/archive/${P}.tgz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="dev-lang/tcl:0
+ dev-lang/tk:0"
+DEPEND="${RDEPEND}
+ app-shells/tcsh"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${PN}-9.7.72-ldflags.patch
+ epatch "${FILESDIR}"/${PN}-9.7.79-datadir.patch
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ cd scripts
+ #tc-export CPP
+ econf
+}
+
+src_install() {
+ emake DESTDIR="${D}" DOCDIR=/usr/share/doc/${PF} install
+ dodoc README
+}
+
+pkg_postinst() {
+ einfo
+ einfo "You will probably need to add to your ~/.Xdefaults"
+ einfo "the following line:"
+ einfo "irsim.background: black"
+ einfo
+ einfo "This is needed because Gentoo from default sets a"
+ einfo "grey background which makes impossible to see the"
+ einfo "simulation (white line on light gray background)."
+ einfo
+}
diff --git a/sci-electronics/irsim/metadata.xml b/sci-electronics/irsim/metadata.xml
new file mode 100644
index 000000000000..a99d934521dc
--- /dev/null
+++ b/sci-electronics/irsim/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ IRSIM is a tool for simulating digital circuits. It is a "switch-level"
+ simulator; that is, it treats transistors as ideal switches. Extracted
+ capacitance and lumped resistance values are used to make the switch a
+ little bit more realistic than the ideal, using the RC time constants
+ to predict the relative timing of events.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/iverilog/Manifest b/sci-electronics/iverilog/Manifest
new file mode 100644
index 000000000000..75c6277dfa1b
--- /dev/null
+++ b/sci-electronics/iverilog/Manifest
@@ -0,0 +1,2 @@
+DIST verilog-0.9.6.tar.gz 1219982 SHA256 25304d5d58d6411fcd1ab94992a505215eea5a6bbd9779c2be2d9d19f38cd54a SHA512 63c18f211eb9711547db65b859551063129cf18acb1196eaa88562f194231079fe929a6f7b8fbe2160863c521f02dde079e792f1b0bbe1c2514deafd55d5288c WHIRLPOOL 137e94edde19f591214847bb729368a8158a0275f3a88cbb1637bf05689cf3cf765a3db429e282b321861b536e7b2059b52390ca0da9f8e9530ea124cedd0cc9
+DIST verilog-0.9.7.tar.gz 1238088 SHA256 7a5e72e17bfb4c3a59264d8f3cc4e70a7c49c1307173348fdd44e079388e7454 SHA512 1a81f132c667f5cd33a11156364a366806ef9b6ef59b86f69df852af79cc92db17df8db0bace4e3c14929b0110df0aa7d83f35f664057e715842acf7bd21c1f5 WHIRLPOOL 4cf808b0fff6d8498a2188785dc8a3befd0272da1e90a02cd767c700074a002de8262c1873e4f16523f527bbb871d50f049d552bb142b2ae7471282c26bc57d0
diff --git a/sci-electronics/iverilog/files/iverilog-0.9.1-gcc45.patch b/sci-electronics/iverilog/files/iverilog-0.9.1-gcc45.patch
new file mode 100644
index 000000000000..4a6914291a18
--- /dev/null
+++ b/sci-electronics/iverilog/files/iverilog-0.9.1-gcc45.patch
@@ -0,0 +1,37 @@
+Fixing build with gcc 4.5
+
+https://bugs.gentoo.org/show_bug.cgi?id=319361
+
+--- pform_disciplines.cc
++++ pform_disciplines.cc
+@@ -27,8 +27,8 @@
+ map<perm_string,ivl_discipline_t> disciplines;
+ map<perm_string,ivl_nature_t> access_function_nature;
+
+-static perm_string nature_name = perm_string::perm_string();
+-static perm_string nature_access = perm_string::perm_string();
++static perm_string nature_name = perm_string();
++static perm_string nature_access = perm_string();
+
+ void pform_start_nature(const char*name)
+ {
+@@ -82,8 +82,8 @@
+ // expressions that use the access function can find it.
+ access_function_nature[nature_access] = tmp;
+
+- nature_name = perm_string::perm_string();
+- nature_access = perm_string::perm_string();
++ nature_name = perm_string();
++ nature_access = perm_string();
+ }
+
+
+@@ -171,7 +171,7 @@
+ FILE_NAME(tmp, loc);
+
+ /* Clear the static variables for the next item. */
+- discipline_name = perm_string::perm_string();
++ discipline_name = perm_string();
+ discipline_domain = IVL_DIS_NONE;
+ discipline_potential = 0;
+ discipline_flow = 0;
diff --git a/sci-electronics/iverilog/iverilog-0.9.6.ebuild b/sci-electronics/iverilog/iverilog-0.9.6.ebuild
new file mode 100644
index 000000000000..30fa882461ab
--- /dev/null
+++ b/sci-electronics/iverilog/iverilog-0.9.6.ebuild
@@ -0,0 +1,50 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils multilib
+
+DESCRIPTION="A Verilog simulation and synthesis tool"
+SRC_URI="ftp://icarus.com/pub/eda/verilog/v${PV:0:3}/verilog-${PV}.tar.gz"
+HOMEPAGE="http://iverilog.icarus.com/"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc sparc x86"
+IUSE="examples"
+
+RDEPEND="app-arch/bzip2
+ sys-libs/readline
+ sys-libs/zlib"
+DEPEND="${RDEPEND}"
+
+S="${WORKDIR}/verilog-${PV}"
+
+src_prepare() {
+ # Fix tests
+ mkdir -p lib/ivl
+ touch lib/ivl/ivl
+ sed -i -e 's/driver\/iverilog -B./IVERILOG_ROOT="." driver\/iverilog -B./' Makefile.in || die
+
+ # Fix LDFLAGS
+ sed -i -e 's/@shared@/@shared@ $(LDFLAGS)/' {cadpli,tgt-vhdl,tgt-null,tgt-stub,tgt-vvp}/Makefile.in || die
+}
+
+src_install() {
+ emake -j1 \
+ prefix="${ED}"/usr \
+ mandir="${ED}"/usr/share/man \
+ infodir="${ED}"/usr/share/info \
+ libdir="${ED}"/usr/$(get_libdir) \
+ libdir64="${ED}"/usr/$(get_libdir) \
+ vpidir="${ED}"/usr/$(get_libdir)/ivl \
+ install
+
+ dodoc *.txt
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
diff --git a/sci-electronics/iverilog/iverilog-0.9.7.ebuild b/sci-electronics/iverilog/iverilog-0.9.7.ebuild
new file mode 100644
index 000000000000..c030f5dfc0b1
--- /dev/null
+++ b/sci-electronics/iverilog/iverilog-0.9.7.ebuild
@@ -0,0 +1,50 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils multilib
+
+DESCRIPTION="A Verilog simulation and synthesis tool"
+SRC_URI="ftp://icarus.com/pub/eda/verilog/v${PV:0:3}/verilog-${PV}.tar.gz"
+HOMEPAGE="http://iverilog.icarus.com/"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~sparc ~x86"
+IUSE="examples"
+
+RDEPEND="app-arch/bzip2
+ sys-libs/readline
+ sys-libs/zlib"
+DEPEND="${RDEPEND}"
+
+S="${WORKDIR}/verilog-${PV}"
+
+src_prepare() {
+ # Fix tests
+ mkdir -p lib/ivl
+ touch lib/ivl/ivl
+ sed -i -e 's/driver\/iverilog -B./IVERILOG_ROOT="." driver\/iverilog -B./' Makefile.in || die
+
+ # Fix LDFLAGS
+ sed -i -e 's/@shared@/@shared@ $(LDFLAGS)/' {cadpli,tgt-vhdl,tgt-null,tgt-stub,tgt-vvp}/Makefile.in || die
+}
+
+src_install() {
+ emake -j1 \
+ prefix="${ED}"/usr \
+ mandir="${ED}"/usr/share/man \
+ infodir="${ED}"/usr/share/info \
+ libdir="${ED}"/usr/$(get_libdir) \
+ libdir64="${ED}"/usr/$(get_libdir) \
+ vpidir="${ED}"/usr/$(get_libdir)/ivl \
+ install
+
+ dodoc *.txt
+ if use examples ; then
+ insinto /usr/share/doc/${PF}
+ doins -r examples
+ fi
+}
diff --git a/sci-electronics/iverilog/metadata.xml b/sci-electronics/iverilog/metadata.xml
new file mode 100644
index 000000000000..ceafe325f3ef
--- /dev/null
+++ b/sci-electronics/iverilog/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a
+ compiler, compiling source code writen in Verilog (IEEE-1364) into some target
+ format. The compiler proper is intended to parse and elaborate design
+ descriptions written to the IEEE standard IEEE Std 1364-2001.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/kicad/Manifest b/sci-electronics/kicad/Manifest
new file mode 100644
index 000000000000..8d9fa05c8088
--- /dev/null
+++ b/sci-electronics/kicad/Manifest
@@ -0,0 +1,2 @@
+DIST kicad-2013-05-18-BZR4017-stable-UBUNTU_12.04_full_with_components_doc.tgz 280828915 SHA256 48c91acb9d4f45c7eebbcf4d602841896f11f22bb00721c62d351dd7241efd0b SHA512 8c4b81077c7e23bae6ad6a167fca7e34c006fc6a2a28457ddc276bef94ab43f6bf29f460a9ed5d9e564ef144a106ee82362b3cead3ca64b1361466d8d390619d WHIRLPOOL ed51fdc7ee287d65f4002250e79652d791d2aebe2fc872e1b853cfeccd287bf548346824ffc9afb599ff21721e972db7a5b3c134c662e883cd7d1e5c5b6c5abe
+DIST kicad-sources-stable_2013-05-18_BZR4017.zip 15025159 SHA256 cb9ca0d19e5ea8ca45c731eeddbd8a242eb696e619ee98af91f2c7a0095b393d SHA512 747e4719a426646cf38b9951d28aea32085d672371426b44d9f9a575b55e051925ae3fd6c98aea6fa2c21c2c6a6a2f6cc2da461c882b854d033f5d1ce58384ba WHIRLPOOL 69dbeed6da6d1400207c048a49008d6b0876df184d64c520b82e7e82e3826a04ada5563551f1498244ee4d67ec7731f81285a604e671ee73f6518f211ecb8ef0
diff --git a/sci-electronics/kicad/files/kicad-desktop-file.patch b/sci-electronics/kicad/files/kicad-desktop-file.patch
new file mode 100644
index 000000000000..7f982f7fa77f
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-desktop-file.patch
@@ -0,0 +1,35 @@
+From cacd01f36db6277111a35fe60ad4762ee3b002e1 Mon Sep 17 00:00:00 2001
+From: Denis Dupeyron <calchan@gentoo.org>
+Date: Thu, 18 Apr 2013 15:17:36 -0600
+Subject: [PATCH] Fix desktop category and semicolon
+
+---
+ resources/linux/mime/applications/eeschema.desktop | 2 +-
+ resources/linux/mime/applications/kicad.desktop | 2 +-
+ 2 files changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/resources/linux/mime/applications/eeschema.desktop b/resources/linux/mime/applications/eeschema.desktop
+index 3042eae..0ed616c 100644
+--- a/resources/linux/mime/applications/eeschema.desktop
++++ b/resources/linux/mime/applications/eeschema.desktop
+@@ -1,5 +1,5 @@
+ [Desktop Entry]
+-Categories=Development;Electronics
++Categories=Electronics;
+ Comment=Design an electronic schematic
+ Comment[fr]=Dessiner des schémas électroniques
+ Exec=eeschema
+diff --git a/resources/linux/mime/applications/kicad.desktop b/resources/linux/mime/applications/kicad.desktop
+index 1d7645b..3a23e34 100644
+--- a/resources/linux/mime/applications/kicad.desktop
++++ b/resources/linux/mime/applications/kicad.desktop
+@@ -1,5 +1,5 @@
+ [Desktop Entry]
+-Categories=Development;Electronics
++Categories=Electronics;
+ Comment=Design a printed circuit board
+ Comment[fr]=Concevoir un circuit imprimé
+ Exec=kicad
+--
+1.8.2.1
+
diff --git a/sci-electronics/kicad/files/kicad-missing-doc.patch b/sci-electronics/kicad/files/kicad-missing-doc.patch
new file mode 100644
index 000000000000..3b9f9666e861
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-missing-doc.patch
@@ -0,0 +1,25 @@
+From 096b02e38c5e86902d5403fcb14313be8dd70fb3 Mon Sep 17 00:00:00 2001
+From: Denis Dupeyron <calchan@gentoo.org>
+Date: Thu, 18 Apr 2013 08:11:28 -0600
+Subject: [PATCH] Add missing documentation
+
+---
+ CMakeLists.txt | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index 9e6e29a..1069a5f 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -415,7 +415,7 @@ add_custom_target(uninstall
+ #================================================
+ # Installation parameters
+ #================================================
+-install(FILES INSTALL.txt
++install(FILES AUTHORS.txt CHANGELOG.txt README.txt
+ DESTINATION ${KICAD_DOCS}
+ COMPONENT resources)
+
+--
+1.8.2.1
+
diff --git a/sci-electronics/kicad/files/kicad-native-boost.patch b/sci-electronics/kicad/files/kicad-native-boost.patch
new file mode 100644
index 000000000000..595d5f32216b
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-native-boost.patch
@@ -0,0 +1,27 @@
+From 50a62d296e803b75ae46c964173e8b2be0661618 Mon Sep 17 00:00:00 2001
+From: Denis Dupeyron <calchan@gentoo.org>
+Date: Thu, 18 Apr 2013 08:01:43 -0600
+Subject: [PATCH] Use native Boost
+
+---
+ CMakeLists.txt | 4 ++--
+ 1 file changed, 2 insertions(+), 2 deletions(-)
+
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index e3c6ae2..9e6e29a 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -260,8 +260,8 @@ check_find_package_result(OPENGL_FOUND "OpenGL")
+ # kicad now includes needed boost files.
+ # the two next lines can be uncommented to use the native boost lib.
+ # but this is not a good idea
+-#find_package(Boost 1.36 QUIET)
+-#check_find_package_result(Boost_FOUND "Boost")
++find_package(Boost 1.36 QUIET)
++check_find_package_result(Boost_FOUND "Boost")
+
+ ##########################
+ # Find wxWidgets library #
+--
+1.8.2.1
+
diff --git a/sci-electronics/kicad/files/kicad-scripts.patch b/sci-electronics/kicad/files/kicad-scripts.patch
new file mode 100644
index 000000000000..9f46157915c7
--- /dev/null
+++ b/sci-electronics/kicad/files/kicad-scripts.patch
@@ -0,0 +1,25 @@
+From 065491b83fb7f82606150aa89e70ac99738992e0 Mon Sep 17 00:00:00 2001
+From: Denis Dupeyron <calchan@gentoo.org>
+Date: Wed, 5 Jun 2013 14:03:07 -0600
+Subject: [PATCH] Fix install location of scripts directory
+
+---
+ CMakeLists.txt | 2 +-
+ 1 file changed, 1 insertion(+), 1 deletion(-)
+
+diff --git a/CMakeLists.txt b/CMakeLists.txt
+index 59fe7c3..3f3bb14 100644
+--- a/CMakeLists.txt
++++ b/CMakeLists.txt
+@@ -428,7 +428,7 @@ install(FILES resources/freeroute.jnlp
+ ###
+ if(UNIX)
+ install(DIRECTORY scripts
+- DESTINATION ${KICAD_DOCS}
++ DESTINATION ${KICAD_DATA}
+ COMPONENT resources
+ PATTERN ".svn" EXCLUDE)
+ endif()
+--
+1.8.2.1
+
diff --git a/sci-electronics/kicad/kicad-20130518.ebuild b/sci-electronics/kicad/kicad-20130518.ebuild
new file mode 100644
index 000000000000..4b7a080b6a92
--- /dev/null
+++ b/sci-electronics/kicad/kicad-20130518.ebuild
@@ -0,0 +1,129 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+#TODO:
+# - python and wxpython scripting
+
+EAPI="5"
+
+WX_GTK_VER="2.8"
+
+inherit eutils unpacker cmake-utils wxwidgets fdo-mime gnome2-utils
+
+DESCRIPTION="Electronic Schematic and PCB design tools"
+HOMEPAGE="http://www.kicad-pcb.org"
+
+RELEASE_DATE="2013-05-18"
+BZR_REV="4017"
+UBUNTU_VER="12.04"
+BASE_SRC_URI="http://iut-tice.ujf-grenoble.fr/cao"
+SRC_URI="${BASE_SRC_URI}/${PN}-sources-stable_${RELEASE_DATE}_BZR${BZR_REV}.zip
+ ${BASE_SRC_URI}/${PN}-${RELEASE_DATE}-BZR${BZR_REV}-stable-UBUNTU_${UBUNTU_VER}_full_with_components_doc.tgz"
+
+LICENSE="GPL-2 kicad-doc"
+SLOT="0"
+
+KEYWORDS="~amd64 ~x86"
+
+IUSE="debug"
+LANGS="de en es fr hu it ja pl pt ru zh_CN"
+for lang in ${LANGS} ; do
+ IUSE="${IUSE} linguas_${lang}"
+done
+
+CDEPEND="x11-libs/wxGTK:${WX_GTK_VER}[gnome,opengl,X]
+ dev-python/wxpython:${WX_GTK_VER}[opengl]"
+DEPEND="${CDEPEND}
+ >=dev-util/cmake-2.6.4
+ >=dev-libs/boost-1.49[python]
+ app-doc/doxygen"
+RDEPEND="${CDEPEND}
+ sys-libs/zlib
+ sci-electronics/electronics-menu"
+
+S="${WORKDIR}/stable_${RELEASE_DATE}_BZR${BZR_REV}"
+
+src_prepare() {
+ epatch "${FILESDIR}/${PN}-native-boost.patch"
+ epatch "${FILESDIR}/${PN}-desktop-file.patch"
+ epatch "${FILESDIR}/${PN}-scripts.patch"
+ epatch "${FILESDIR}/${PN}-missing-doc.patch"
+ rm -f resources/linux/mime/applications/eeschema.desktop
+}
+
+src_configure() {
+ need-wxwidgets unicode
+
+ mycmakeargs="${mycmakeargs}
+ -DKICAD_STABLE_VERSION=ON
+ -DKICAD_wxUSE_UNICODE=ON
+ -DKICAD_DOCS=/usr/share/doc/${PN}
+ -DKICAD_HELP=/usr/share/doc/${PN}"
+
+# -DKICAD_SCRIPTING=ON
+# -DKICAD_SCRIPTING_MODULES=ON
+# -DKICAD_SCRIPTING_WXPYTHON=ON
+
+ cmake-utils_src_configure
+}
+
+src_compile() {
+ cmake-utils_src_compile all doxygen-docs
+}
+
+src_install() {
+ cmake-utils_src_install
+
+ insinto /usr/share/${PN}
+ doins -r "${WORKDIR}/${PN}/share/library"
+ doins -r "${WORKDIR}/${PN}/share/modules"
+
+ insinto /usr/share/doc/${PN}
+ doins -r "${WORKDIR}/${PN}/doc/contrib"
+
+ insinto /usr/share/doc/${PN}/help
+ for lang in $LANGS ; do
+ if [[ -d "${WORKDIR}/${PN}/doc/help/${lang}" ]] ; then
+ use linguas_$lang && doins -r "${WORKDIR}/${PN}/doc/help/${lang}"
+ fi
+ done
+
+ insinto /usr/share/doc/${PN}/tutorials
+ for lang in $LANGS ; do
+ if [[ -d "${WORKDIR}/${PN}/doc/tutorials/${lang}" ]] ; then
+ use linguas_$lang && doins -r "${WORKDIR}/${PN}/doc/tutorials/${lang}"
+ fi
+ done
+
+ local dev_doc="/usr/share/doc/${PN}/development"
+ insinto ${dev_doc}
+ doins HOW_TO_CONTRIBUTE.txt notes_about_pcbnew_new_file_format.odt TODO.txt uncrustify.cfg
+ doins "${WORKDIR}/${PN}/doc/help/file_formats/file_formats.pdf"
+ cd Documentation
+ doins -r *
+
+ docompress -x \
+ ${dev_doc}/GUI_Translation_HOWTO.odt \
+ ${dev_doc}/notes_about_pcbnew_new_file_format.odt \
+ ${dev_doc}/uncrustify.cfg
+ ${dev_doc}/file_formats.pdf
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+
+ elog "You may want to emerge media-gfx/wings if you want to create 3D models of components."
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/kicad/metadata.xml b/sci-electronics/kicad/metadata.xml
new file mode 100644
index 000000000000..62924d2393a6
--- /dev/null
+++ b/sci-electronics/kicad/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <maintainer>
+ <email>maintainer-needed@gentoo.org</email>
+ </maintainer>
+ <longdescription>
+ Kicad is an open source (GPL) software for the creation of electronic
+ schematic diagrams and printed circuit board artwork.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/klayout/Manifest b/sci-electronics/klayout/Manifest
new file mode 100644
index 000000000000..00afc5d8fe73
--- /dev/null
+++ b/sci-electronics/klayout/Manifest
@@ -0,0 +1 @@
+DIST klayout-0.23.10.tar.gz 9561035 SHA256 f215a70ec78ac58c775527c4194c972d6588afd23a8f24f292626fb4f70028a1 SHA512 628a260d1b4f44b7eb02d166e7af47ef6c303019824ef0b9681509e53fda58576654188097e8791bb7acce635e671d016d5199c7b8777377ce6d9256756957c6 WHIRLPOOL 228bd2b76c1feb29e86740ee2efe16fc3380df6ec67cd9caff8c862097c372823f8d1a2b1c07b4b8534673161a9e538a73f78f2de85676eed42fa8ef65f53308
diff --git a/sci-electronics/klayout/files/klayout-0.21.7-Makefile.conf.linux-gentoo b/sci-electronics/klayout/files/klayout-0.21.7-Makefile.conf.linux-gentoo
new file mode 100644
index 000000000000..32d75df7e72b
--- /dev/null
+++ b/sci-electronics/klayout/files/klayout-0.21.7-Makefile.conf.linux-gentoo
@@ -0,0 +1,43 @@
+
+# Configuration file for gentoo-linux
+# Compiler options
+
+# Compiler general
+INC=-I$(TOP_SOURCE) -I$(SOURCE) -I. -I$(QTINCLUDE)
+DEFS=-DQT_THREAD_SUPPORT -DQT3_SUPPORT
+
+# C++
+CXXOPT_DEP=-MM -MG
+CXXWARN=-Wall -pedantic -Wno-deprecated -Woverloaded-virtual \
+ -Wsign-promo -Wsynth -Wno-long-long -Wno-strict-aliasing
+CXXOPT=-c $(CXXFLAGS) -o
+CXXOPT_SO=-fPIC $(CXXOPT)
+
+# C
+CCOPT_DEP=-MM -MG
+CCWARN=
+CCOPT=-c $(CFLAGS) -o
+CCOPT_SO=-fPIC $(CCOPT)
+
+# Linker
+LINK=$(CXX)
+LOPT=-Wl,-E ${LDFLAGS} -o
+LOPT_SO=-shared -fPIC $(LOPT) -o
+
+LIBS=-L$(QTLIB) -lQtGui -lQtCore -lQtXml -lrt -lstdc++ -lcrypt -ldl -lz
+
+.PHONY: install
+install:
+ mkdir -p $(INSTALL_BINDIR)
+ cp main/$(EXEC_NAME) $(INSTALL_BINDIR)
+ for bin in $(OTHER_BIN) ; \
+ do \
+ cp main/$$bin $(INSTALL_BINDIR) ; \
+ done
+ for plugin in $(PLUGINS) ; \
+ do \
+ cp $$plugin/*.so $(INSTALL_BINDIR) ; \
+ done
+ chmod 755 $(INSTALL_BINDIR) $(INSTALL_BINDIR)/*
+
+
diff --git a/sci-electronics/klayout/files/klayout-0.22.8-noautoruby.patch b/sci-electronics/klayout/files/klayout-0.22.8-noautoruby.patch
new file mode 100644
index 000000000000..fe96fb9572f6
--- /dev/null
+++ b/sci-electronics/klayout/files/klayout-0.22.8-noautoruby.patch
@@ -0,0 +1,18 @@
+diff -ruN klayout-0.22.8.orig/build.sh klayout-0.22.8/build.sh
+--- klayout-0.22.8.orig/build.sh 2013-06-22 00:43:11.000000000 +0200
++++ klayout-0.22.8/build.sh 2013-10-13 20:13:36.493108910 +0200
+@@ -62,10 +62,10 @@
+ RUBYINCLUDE2="not-used"
+ RUBYLIBFILE=""
+
+-RUBY=""
+-if [ "`ruby1.9 -v`" != "" ]; then RUBY="ruby1.9"; fi
+-if [ "`ruby1.8 -v`" != "" ]; then RUBY="ruby1.8"; fi
+-if [ "`ruby -v`" != "" ]; then RUBY="ruby"; fi
++#RUBY=""
++#if [ "`ruby1.9 -v`" != "" ]; then RUBY="ruby1.9"; fi
++#if [ "`ruby1.8 -v`" != "" ]; then RUBY="ruby1.8"; fi
++#if [ "`ruby -v`" != "" ]; then RUBY="ruby"; fi
+ if [ "$RUBY" != "" ]; then
+
+ echo "Found ruby interpreter: $RUBY"
diff --git a/sci-electronics/klayout/files/klayout-0.23.10-Makefile.conf.linux-gentoo b/sci-electronics/klayout/files/klayout-0.23.10-Makefile.conf.linux-gentoo
new file mode 100644
index 000000000000..d51b60a31db9
--- /dev/null
+++ b/sci-electronics/klayout/files/klayout-0.23.10-Makefile.conf.linux-gentoo
@@ -0,0 +1,45 @@
+
+# Configuration file for
+# gcc, Linux, 64bit
+# release build
+
+# Compiler options
+
+# Compiler general
+INC=-I$(TOP_SOURCE) -I$(SOURCE) -I. -I$(QTINCLUDE)
+DEFS=-DQT_THREAD_SUPPORT -DQT_NO_CAST_FROM_ASCII -DQT_NO_CAST_TO_ASCII
+
+# C++
+CXXOPT_DEP=-MM -MG
+CXXWARN=-Wall -pedantic -Wno-deprecated -Woverloaded-virtual \
+ -Wsign-promo -Wsynth -Wno-long-long -Wno-strict-aliasing
+CXXOPT=-c $(CXXFLAGS) -o
+CXXOPT_SO=-fPIC $(CXXOPT)
+
+# C
+CCOPT_DEP=-MM -MG
+CCWARN=
+CCOPT=-c $(CFLAGS) -o
+CCOPT_SO=-fPIC $(CCOPT)
+
+# Linker
+LINK=$(CXX)
+LOPT=-Wl,-E ${LDFLAGS} -o
+LOPT_SO=-shared -fPIC $(LOPT)
+
+LIBS=-L$(QTLIB) -lQtGui -lQtCore -lQtXml -lQtNetwork -lQtSql -lQtDesigner -lrt -lstdc++ -lcrypt -ldl -lz -lpthread
+
+.PHONY: install
+install:
+ mkdir -p $(INSTALL_BINDIR)
+ cp main/$(EXEC_NAME) $(INSTALL_BINDIR)
+ for bin in $(OTHER_BIN) ; \
+ do \
+ cp main/$$bin $(INSTALL_BINDIR) ; \
+ done
+ for plugin in $(PLUGINS) ; \
+ do \
+ cp $$plugin/*.so $(INSTALL_BINDIR) ; \
+ done
+ chmod 755 $(INSTALL_BINDIR) $(INSTALL_BINDIR)/*
+
diff --git a/sci-electronics/klayout/klayout-0.23.10.ebuild b/sci-electronics/klayout/klayout-0.23.10.ebuild
new file mode 100644
index 000000000000..6f143c744b55
--- /dev/null
+++ b/sci-electronics/klayout/klayout-0.23.10.ebuild
@@ -0,0 +1,65 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+USE_RUBY="ruby20"
+# note: define maximally ONE implementation here
+
+RUBY_OPTIONAL=no
+inherit eutils multilib toolchain-funcs ruby-ng
+
+DESCRIPTION="Viewer and editor for GDS and OASIS integrated circuit layouts"
+HOMEPAGE="http://www.klayout.de/"
+SRC_URI="http://178.77.72.242/downloads/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE=""
+
+RDEPEND="
+ dev-qt/qtgui:4[qt3support]
+ $(ruby_implementations_depend)
+"
+DEPEND="${RDEPEND}"
+
+all_ruby_prepare() {
+ # now we generate the stub build configuration file for the home-brew build system
+ cp "${FILESDIR}/${PN}-0.23.10-Makefile.conf.linux-gentoo" "${S}/config/Makefile.conf.linux-gentoo" || die
+}
+
+each_ruby_configure() {
+ ./build.sh \
+ -dry-run \
+ -platform linux-gentoo \
+ -bin bin \
+ -ruby ${RUBY} \
+ -qtbin /usr/bin \
+ -qtinc /usr/include/qt4 \
+ -qtlib /usr/$(get_libdir)/qt4 || die "Configuration failed"
+}
+
+each_ruby_compile() {
+ cd build.linux-gentoo
+ tc-export CC CXX AR LD RANLIB
+ export AR="${AR} -r"
+ emake all
+}
+
+each_ruby_install() {
+ cd build.linux-gentoo
+ emake install
+
+ cd ..
+ dobin bin/klayout
+
+ insinto /usr/share/${PN}/testdata/gds
+ doins testdata/gds/*.gds
+ insinto /usr/share/${PN}/testdata/oasis
+ doins testdata/oasis/*.oas testdata/oasis/*.ot
+
+ insinto /usr/share/${PN}
+ doins -r testdata/ruby
+}
diff --git a/sci-electronics/klayout/metadata.xml b/sci-electronics/klayout/metadata.xml
new file mode 100644
index 000000000000..eb47c894cf16
--- /dev/null
+++ b/sci-electronics/klayout/metadata.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>sci-electronics</herd>
+<maintainer>
+ <email>dilfridge@gentoo.org</email>
+ <name>Andreas K. Huettel</name>
+</maintainer>
+</pkgmetadata>
diff --git a/sci-electronics/linsmith/Manifest b/sci-electronics/linsmith/Manifest
new file mode 100644
index 000000000000..343b1a8e3813
--- /dev/null
+++ b/sci-electronics/linsmith/Manifest
@@ -0,0 +1 @@
+DIST linsmith-0.99.28.tar.gz 1132315 SHA256 22196edb6e4c72a45a3d0a794a0bb140ab5932a5e59a40e2e9ee143b53c4235d SHA512 0e8811b64703884dc44e0d8c6a6eeefbb3ba65d8af7573a87e1985e9061d3d947f5e35631dbca2444a9ef2600b523358d6b65089ae746312f9e3397a9dd99118 WHIRLPOOL 41416593eb0eb8913bbd1b9d4cc104830f18eb0835b5c262e8725f3a45162a012167d396205dfc362b9908ed35a7643540dc4fe055772c8806d7c02743746dcb
diff --git a/sci-electronics/linsmith/files/linsmith-datafiles.patch b/sci-electronics/linsmith/files/linsmith-datafiles.patch
new file mode 100644
index 000000000000..cae7a2a7c28e
--- /dev/null
+++ b/sci-electronics/linsmith/files/linsmith-datafiles.patch
@@ -0,0 +1,30 @@
+--- Makefile.am.old 2005-11-03 00:56:51.000000000 -0300
++++ Makefile.am 2005-11-03 00:57:09.000000000 -0300
+@@ -20,15 +20,6 @@
+ fi \
+ done \
+ fi
+- @$(NORMAL_INSTALL)
+- if test -d $(srcdir)/datafiles; then \
+- $(mkinstalldirs) $(DESTDIR)$(datadir)/$(PACKAGE); \
+- for datafile in $(srcdir)/datafiles/*; do \
+- if test -f $$datafile; then \
+- $(INSTALL_DATA) $$datafile $(DESTDIR)$(datadir)/$(PACKAGE); \
+- fi \
+- done \
+- fi
+
+ dist-hook:
+ if test -d pixmaps; then \
+@@ -39,11 +30,3 @@
+ fi \
+ done \
+ fi
+- if test -d datafiles; then \
+- mkdir $(distdir)/datafiles; \
+- for datafile in datafiles/*; do \
+- if test -f $$datafile; then \
+- cp -p $$datafile $(distdir)/datafiles; \
+- fi \
+- done \
+- fi
diff --git a/sci-electronics/linsmith/linsmith-0.99.28.ebuild b/sci-electronics/linsmith/linsmith-0.99.28.ebuild
new file mode 100644
index 000000000000..40d8ce21443b
--- /dev/null
+++ b/sci-electronics/linsmith/linsmith-0.99.28.ebuild
@@ -0,0 +1,66 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit eutils autotools
+
+DESCRIPTION="Smith charting program, mainly designed for educational use"
+HOMEPAGE="http://www.jcoppens.com/soft/linsmith/index.en.php"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="doc examples"
+
+RDEPEND="
+ x11-libs/gtk+:2
+ dev-libs/libxml2:2
+ dev-libs/glib:2
+ dev-libs/atk
+ gnome-base/libgnome
+ gnome-base/libgnomecanvas
+ gnome-base/libgnomeui"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+src_prepare() {
+ # This patch is to prevent make install copying
+ # the examples in /usr/share/linsmith
+ # Now they are cp to the correct location.
+ epatch \
+ "${FILESDIR}"/${PN}-datafiles.patch
+
+ # fix QA warnings about wrong categories in .desktop file
+ sed -i -e "s/Application;Engineering;/Education;Science;Electronics;/" \
+ -e "s/Encoding=/#Encoding=/" \
+ ${PN}.desktop || die
+
+ # fix QA warnings about 'maintainer mode'
+ eautoreconf
+}
+
+src_install() {
+ emake DESTDIR="${D}" install
+
+ insinto "/usr/share/${PN}"
+ doins datafiles/conv0809
+
+ dodoc AUTHORS ChangeLog NEWS NOTES README THANKS TODO
+ doman doc/${PN}.1
+
+ domenu ${PN}.desktop
+ doicon ${PN}_icon.xpm
+
+ if use doc; then
+ insinto "/usr/share/doc/${PF}"
+ doins doc/manual.pdf
+ fi
+
+ if use examples; then
+ insinto "/usr/share/doc/${PF}/examples"
+ doins datafiles/*.circ datafiles/*.load || die
+ fi
+}
diff --git a/sci-electronics/linsmith/metadata.xml b/sci-electronics/linsmith/metadata.xml
new file mode 100644
index 000000000000..5604ed5d092d
--- /dev/null
+++ b/sci-electronics/linsmith/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <maintainer>
+ <email>tomjbe@gentoo.org</email>
+ <name>Thomas Beierlein</name>
+ </maintainer>
+ <upstream>
+ <remote-id type="sourceforge">linsmith</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/magic/Manifest b/sci-electronics/magic/Manifest
new file mode 100644
index 000000000000..bf8e60ca0eef
--- /dev/null
+++ b/sci-electronics/magic/Manifest
@@ -0,0 +1,5 @@
+DIST 2002a.tar.gz 6601961 SHA256 8b47889fcbcd36b1cdc2e51fc0aeb8c17b7780f559b01ca1209f9ed5f8c38d19 SHA512 cb8e30df77e58eb37f7ada775db8a8411612897b1cdbcb59b0c4bd814fa73c6cb10a5461e27e9eecb0fe452e194ee95fbb02b568b86848e501b9c37cc24de39e WHIRLPOOL f3cadaaaa48e4603115cd9c34e51a5beb51cc8cdbec3bf4352750c91476943eb182c9bc3865f8a7adfe115012320af7d8132d5d279ea61618f3a713f08cff0c6
+DIST magic-7.5.202.tgz 3692294 SHA256 975cb3962c8a97e3caae83c5de309bf09e623a829bd36983e82d28104067d99c SHA512 62be6e781ab51a4129a520b91a35ff5c280e7e9cb825e5d71e4012205dd0b777ca8c2355fb071d7d716977d477bddd44e45ca2810d3f97bb63dfe46c5ad1ba80 WHIRLPOOL 5deea41d84752b5706c505c9f33f0c7ab9c020a905f3d399279d9e0b423963cae59c17b73b86994bd04163ca2891eb6137ebc9a62bda3786c8d2e0da4f1a974c
+DIST magic-7.5.231.tgz 3751133 SHA256 48331d4ecfaf0239c746cc0e6f249187c57e751540c48b2c933afcd35430bf29 SHA512 ff1aa170c0fdbeb78aca7328370f40fde1a7276dd77e1b4d4d53f121b38ffecdc8b0d860dc92c1dfeccd1dd7685ee6079aa19984757025fc743ae1a88ed0aace WHIRLPOOL b1a6e0a80235ba0ef4d794436ce29a8cd42d15de48ab8a67e75cb5efcf4c316ce1b1099f1cb5f009eab831082166b80172afd152f7901aa34a5a471fc366edbe
+DIST magic-8.0.138.tgz 3880247 SHA256 85b49c587c09e874f8538386a0f8e2b5b5d034708ae12cd21063994b1cd74c8c SHA512 934fb0afef7fd7aca1307a1e9c86d23531d4188d1bd03bbfbb3609cabec115f5ce66ef02b75f5a0aedbace0b1f68260585b279a6d7bc45f58a4e0ac09cd99d36 WHIRLPOOL 75c84ed731a0405492bfbe364a9d774901895b009352659e5fb690d97830a6d30998deaf15f640d47b37216ea2dd61a93118910731f0751f4c9c7451306a788f
+DIST magic-8.0.207.tgz 3893605 SHA256 90eb044f742e2746d0f365fd9c0d33f7be0a290ca9bfedad4973bbabb24008b1 SHA512 034387d774f653d6de419d597564e96242b87bf3c478045e5a649f490fe4e5431c983f6667b5900ec9ae283af2775404dfbdcbaf18419f85d7242d492360d32b WHIRLPOOL 7573513062242e9be98371c34198c72213eacbf6d23ee30cbbc842427d1af7fefa2856f5c825547406287a26f243df6ca4854357e64b959241ddccd1b2cd72bc
diff --git a/sci-electronics/magic/files/magic-7.5.202-include.patch b/sci-electronics/magic/files/magic-7.5.202-include.patch
new file mode 100644
index 000000000000..f1fc95a60b32
--- /dev/null
+++ b/sci-electronics/magic/files/magic-7.5.202-include.patch
@@ -0,0 +1,20 @@
+--- magic-7.5.202/textio/txInput.c
++++ magic-7.5.202/textio/txInput.c
+@@ -21,6 +21,7 @@
+ #endif /* not lint */
+
+ #include <stdio.h>
++#include <stdlib.h>
+ #include <string.h>
+ #include <sys/time.h>
+ #include <sys/types.h>
+--- magic-8.0.131/graphics/grX11su3.c
++++ magic-8.0.131/graphics/grX11su3.c
+@@ -20,6 +20,7 @@
+
+ #include <stdio.h>
+ #include <string.h>
++#include <math.h>
+ #include <X11/Xlib.h>
+
+ #include "utils/magic.h"
diff --git a/sci-electronics/magic/files/magic-7.5.202-install.patch b/sci-electronics/magic/files/magic-7.5.202-install.patch
new file mode 100644
index 000000000000..6e28b950c510
--- /dev/null
+++ b/sci-electronics/magic/files/magic-7.5.202-install.patch
@@ -0,0 +1,15 @@
+--- magic-7.5.202/rules.mak
++++ magic-7.5.202/rules.mak
+@@ -43,9 +43,9 @@
+ ${RM} ${MODULE}
+ ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} lib${MODULE}.o ${EXTRA_LIBS} -o ${MODULE} ${LIBS}
+
+-${BINDIR}/${MODULE}${EXEEXT}: ${MODULE}${EXEEXT}
+- ${RM} ${BINDIR}/${MODULE}${EXEEXT}
+- ${CP} ${MODULE}${EXEEXT} ${BINDIR}
++${DESTDIR}${BINDIR}/${MODULE}${EXEEXT}: ${MODULE}${EXEEXT}
++ ${RM} ${DESTDIR}${BINDIR}/${MODULE}${EXEEXT}
++ ${CP} ${MODULE}${EXEEXT} ${DESTDIR}${BINDIR}
+
+ ../database/database.h: ../database/database.h.in
+ @echo --- making header file database/database.h
diff --git a/sci-electronics/magic/files/magic-7.5.231-blt-test.patch b/sci-electronics/magic/files/magic-7.5.231-blt-test.patch
new file mode 100644
index 000000000000..bf78f3f5b6b2
--- /dev/null
+++ b/sci-electronics/magic/files/magic-7.5.231-blt-test.patch
@@ -0,0 +1,11 @@
+--- magic-7.5.231/scripts/configure.in
++++ magic-7.5.231/scripts/configure.in
+@@ -1695,7 +1695,7 @@
+ if {[[catch {package require BLT}]]} {puts stdout no} {puts stdout yes}
+ exit
+ EOF
+- usingBLT=`cat temp.out`
++ usingBLT=yes
+ rm -f temp.out
+ if test "x${usingBLT}" = "xyes" ; then
+ echo "yes"
diff --git a/sci-electronics/magic/files/magic-7.5.231-ldflags.patch b/sci-electronics/magic/files/magic-7.5.231-ldflags.patch
new file mode 100644
index 000000000000..32555d66f81e
--- /dev/null
+++ b/sci-electronics/magic/files/magic-7.5.231-ldflags.patch
@@ -0,0 +1,16 @@
+--- magic-7.5.231/scripts/configure.in
++++ magic-7.5.231/scripts/configure.in
+@@ -1428,11 +1428,11 @@
+ ;;
+
+ *-linux*)
+- LDDL_FLAGS='-shared -Wl,-soname,$@'
++ LDDL_FLAGS+=' -shared -Wl,-soname,$@'
+ if test "x${loader_run_path}" != "x" ; then
+ LD_RUN_PATH="${LD_RUN_PATH} -Wl,-rpath,${loader_run_path}"
+ fi
+- LDFLAGS=""
++ LDFLAGS+=" "
+ EXTRA_LIB_SPECS="-ldl"
+ ;;
+
diff --git a/sci-electronics/magic/files/magic-7.5.231-verbose-build.patch b/sci-electronics/magic/files/magic-7.5.231-verbose-build.patch
new file mode 100644
index 000000000000..64ad4fd18278
--- /dev/null
+++ b/sci-electronics/magic/files/magic-7.5.231-verbose-build.patch
@@ -0,0 +1,35 @@
+--- magic-7.5.231/Makefile
++++ magic-7.5.231/Makefile
+@@ -18,12 +18,10 @@
+ all: $(ALL_TARGET)
+
+ standard:
+- @echo --- errors and warnings logged in file make.log
+- @${MAKE} mains 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)"
++ @${MAKE} mains
+
+ tcl:
+- @echo --- errors and warnings logged in file make.log
+- @${MAKE} tcllibrary 2>&1 | tee -a make.log | egrep -i "(.c:|Stop.|---)"
++ @${MAKE} tcllibrary
+
+ force: clean all
+
+@@ -67,7 +65,7 @@
+ install-magic:
+ @echo --- installing executable to $(DESTDIR)${BINDIR}
+ @echo --- installing runtime files to $(DESTDIR)${LIBDIR}
+- @${MAKE} install-real 2>&1 >> install.log
++ @${MAKE} install-real
+
+ install-real: install-dirs
+ for dir in ${INSTALL_CAD_DIRS}; do \
+@@ -86,7 +84,7 @@
+ install-tcl:
+ @echo --- installing executable to $(DESTDIR)${BINDIR}
+ @echo --- installing runtime files to $(DESTDIR)${LIBDIR}
+- @${MAKE} install-tcl-real 2>&1 >> install.log
++ @${MAKE} install-tcl-real
+
+ install-tcl-real: install-tcl-dirs
+ for dir in ${INSTALL_CAD_DIRS} ${PROGRAMS}; do \
diff --git a/sci-electronics/magic/files/magic-ldflags.patch b/sci-electronics/magic/files/magic-ldflags.patch
new file mode 100644
index 000000000000..60d5891beee0
--- /dev/null
+++ b/sci-electronics/magic/files/magic-ldflags.patch
@@ -0,0 +1,40 @@
+diff -r -u magic-7.5.202.orig/scripts/configure.in magic-7.5.202/scripts/configure.in
+--- magic-7.5.202.orig/scripts/configure.in 2010-03-08 14:33:07.000000000 +0100
++++ magic-7.5.202/scripts/configure.in 2010-07-02 22:15:50.814199319 +0200
+@@ -1350,7 +1350,6 @@
+ if test "x${loader_run_path}" != "x" ; then
+ LD_RUN_PATH="${LD_RUN_PATH} -Wl,-rpath,${loader_run_path}"
+ fi
+- LDFLAGS=""
+ EXTRA_LIB_SPECS="-ldl"
+ ;;
+
+diff -r -u magic-7.5.202.orig/scripts/defs.mak.in magic-7.5.202/scripts/defs.mak.in
+--- magic-7.5.202.orig/scripts/defs.mak.in 2010-03-08 14:33:07.000000000 +0100
++++ magic-7.5.202/scripts/defs.mak.in 2010-07-02 22:15:50.814199319 +0200
+@@ -53,7 +53,7 @@
+ M4 = @M4@
+ RANLIB = @RANLIB@
+ SHDLIB_EXT = @SHDLIB_EXT@
+-LDDL_FLAGS = @LDDL_FLAGS@
++LDDL_FLAGS = ${LDFLAGS} @LDDL_FLAGS@
+ LD_RUN_PATH = @LD_RUN_PATH@
+ LIB_SPECS = @LIB_SPECS@
+ WISH_EXE = @WISH_EXE@
+diff -r -u magic-7.5.202.orig/tcltk/Makefile magic-7.5.202/tcltk/Makefile
+--- magic-7.5.202.orig/tcltk/Makefile 2009-05-29 15:54:55.000000000 +0200
++++ magic-7.5.202/tcltk/Makefile 2010-07-02 22:16:30.916202298 +0200
+@@ -41,11 +41,11 @@
+ (cd $(DESTDIR)${TCLDIR}; chmod 0755 tkcon.tcl tkshell.tcl)
+
+ magicexec: magicexec.c
+- ${CC} ${CFLAGS} -pg ${CPPFLAGS} ${DFLAGS} magicexec.c -o magicexec \
++ ${CC} ${CFLAGS} -pg ${CPPFLAGS} ${DFLAGS} ${LDFLAGS} magicexec.c -o magicexec \
+ ${LD_RUN_PATH} ${LIBS} ${LIB_SPECS}
+
+ magicdnull: magicdnull.c
+- ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} magicdnull.c -o magicdnull \
++ ${CC} ${CFLAGS} ${CPPFLAGS} ${DFLAGS} ${LDFLAGS} magicdnull.c -o magicdnull \
+ ${LD_RUN_PATH} ${LIBS} ${LIB_SPECS}
+
+ magic.tcl: magic.tcl.in ${MAGICDIR}/defs.mak
diff --git a/sci-electronics/magic/magic-7.5.202-r1.ebuild b/sci-electronics/magic/magic-7.5.202-r1.ebuild
new file mode 100644
index 000000000000..e0cabc15ed61
--- /dev/null
+++ b/sci-electronics/magic/magic-7.5.202-r1.ebuild
@@ -0,0 +1,65 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit multilib eutils autotools
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \
+ ftp://ftp.mosis.edu/pub/sondeen/magic/new/beta/2002a.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE=""
+
+RDEPEND="sys-libs/ncurses
+ sys-libs/readline:0=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt"
+DEPEND="${RDEPEND}
+ app-shells/tcsh"
+
+src_prepare() {
+ epatch "${FILESDIR}/${PN}-ldflags.patch"
+ epatch "${FILESDIR}/${P}-install.patch" #422687
+ epatch "${FILESDIR}/${P}-include.patch"
+ cd scripts
+ eautoreconf
+ cd ..
+ sed -i -e "s: -pg : :" tcltk/Makefile || die
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # fix tcl/tk detection #447868
+ cd scripts
+ CPP="cpp" econf --with-tcllibs="/usr/$(get_libdir)" --with-tklibs="/usr/$(get_libdir)"
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_install() {
+ emake -j1 DESTDIR="${D}" install
+
+ dodoc README README.Tcl TODO
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${D}/usr/$(get_libdir)/magic/doc"/* "${D}/usr/share/doc/${PF}/" || die
+ rmdir "${D}/usr/$(get_libdir)/magic/doc" || die
+ dosym "/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${D}/usr/$(get_libdir)/magic/tutorial" "${D}/usr/share/${PN}/" || die
+ dosym "/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/2002a "${D}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/magic/magic-7.5.231.ebuild b/sci-electronics/magic/magic-7.5.231.ebuild
new file mode 100644
index 000000000000..5175e7c46618
--- /dev/null
+++ b/sci-electronics/magic/magic-7.5.231.ebuild
@@ -0,0 +1,74 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit multilib eutils autotools
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \
+ ftp://ftp.mosis.edu/pub/sondeen/magic/new/beta/2002a.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="debug opengl"
+
+RDEPEND="sys-libs/ncurses
+ sys-libs/readline:0=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt
+ opengl? ( virtual/opengl )"
+DEPEND="${RDEPEND}
+ app-shells/tcsh"
+
+src_prepare() {
+ einfo remove bundled readline-4.3
+ rm -r readline || die
+
+ epatch \
+ "${FILESDIR}"/${PN}-7.5.231-blt-test.patch \
+ "${FILESDIR}"/${PN}-7.5.231-ldflags.patch \
+ "${FILESDIR}"/${PN}-7.5.231-verbose-build.patch
+
+ cd scripts || die
+ eautoreconf
+ cd .. || die
+
+ sed -i -e "s: -pg : :" tcltk/Makefile || die
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # fix tcl/tk detection #447868
+ cd scripts
+ CPP="cpp" econf \
+ --with-tcl=yes \
+ --with-tcllibs="/usr/$(get_libdir)" \
+ --with-tklibs="/usr/$(get_libdir)" \
+ --enable-modular \
+ $(use_enable debug memdebug) \
+ $(use_with opengl)
+}
+
+src_install() {
+ emake -j1 DESTDIR="${D}" install
+
+ dodoc README README.Tcl TODO
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${D}/usr/$(get_libdir)/magic/doc"/* "${D}/usr/share/doc/${PF}/" || die
+ rmdir "${D}/usr/$(get_libdir)/magic/doc" || die
+ dosym "/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${D}/usr/$(get_libdir)/magic/tutorial" "${D}/usr/share/${PN}/" || die
+ dosym "/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/2002a "${D}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/magic/magic-8.0.138.ebuild b/sci-electronics/magic/magic-8.0.138.ebuild
new file mode 100644
index 000000000000..c8e27a7949fc
--- /dev/null
+++ b/sci-electronics/magic/magic-8.0.138.ebuild
@@ -0,0 +1,77 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit multilib eutils autotools
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \
+ ftp://ftp.mosis.edu/pub/sondeen/magic/new/beta/2002a.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="debug opengl"
+
+RDEPEND="sys-libs/ncurses
+ sys-libs/readline:0=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt
+ opengl? ( virtual/glu
+ virtual/opengl )"
+DEPEND="${RDEPEND}
+ app-shells/tcsh"
+
+src_prepare() {
+ einfo remove bundled readline-4.3
+ rm -r readline || die
+
+ epatch \
+ "${FILESDIR}/${PN}-7.5.231-verbose-build.patch"
+
+ cd scripts || die
+ eautoreconf
+ cd .. || die
+
+ sed -i -e "s: -pg : :" tcltk/Makefile || die
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # fix tcl/tk detection #447868
+ cd scripts
+ CPP="cpp" econf \
+ --with-tcl=yes \
+ --with-tcllibs="/usr/$(get_libdir)" \
+ --with-tklibs="/usr/$(get_libdir)" \
+ --enable-modular \
+ $(use_enable debug memdebug) \
+ $(use_with opengl)
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_install() {
+ emake -j1 DESTDIR="${D}" install
+
+ dodoc README README.Tcl TODO
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${D}/usr/$(get_libdir)/magic/doc"/* "${D}/usr/share/doc/${PF}/" || die
+ rmdir "${D}/usr/$(get_libdir)/magic/doc" || die
+ dosym "/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${D}/usr/$(get_libdir)/magic/tutorial" "${D}/usr/share/${PN}/" || die
+ dosym "/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/2002a "${D}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/magic/magic-8.0.207.ebuild b/sci-electronics/magic/magic-8.0.207.ebuild
new file mode 100644
index 000000000000..0e9d21023ad9
--- /dev/null
+++ b/sci-electronics/magic/magic-8.0.207.ebuild
@@ -0,0 +1,74 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit multilib eutils autotools
+
+DESCRIPTION="The VLSI design CAD tool"
+HOMEPAGE="http://www.opencircuitdesign.com/magic/index.html"
+SRC_URI="http://www.opencircuitdesign.com/magic/archive/${P}.tgz \
+ ftp://ftp.mosis.edu/pub/sondeen/magic/new/beta/2002a.tar.gz"
+
+LICENSE="HPND GPL-2+"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="debug opengl"
+
+RDEPEND="sys-libs/ncurses
+ sys-libs/readline:0=
+ dev-lang/tcl:0=
+ dev-lang/tk:0=
+ dev-tcltk/blt
+ opengl? ( virtual/glu
+ virtual/opengl )"
+DEPEND="${RDEPEND}
+ app-shells/tcsh"
+
+src_prepare() {
+ epatch \
+ "${FILESDIR}/${PN}-7.5.231-verbose-build.patch"
+
+ cd scripts || die
+ eautoreconf
+ cd .. || die
+
+ sed -i -e "s: -pg : :" tcltk/Makefile || die
+}
+
+src_configure() {
+ # Short-circuit top-level configure script to retain CFLAGS
+ # fix tcl/tk detection #447868
+ cd scripts
+ CPP="cpp" econf \
+ --with-tcl=yes \
+ --with-tcllibs="/usr/$(get_libdir)" \
+ --with-tklibs="/usr/$(get_libdir)" \
+ --disable-modular \
+ $(use_enable debug memdebug) \
+ $(use_with opengl)
+}
+
+src_compile() {
+ emake -j1
+}
+
+src_install() {
+ emake -j1 DESTDIR="${D}" install
+
+ dodoc README README.Tcl TODO
+
+ # Move docs from libdir to docdir and add symlink.
+ mv "${D}/usr/$(get_libdir)/magic/doc"/* "${D}/usr/share/doc/${PF}/" || die
+ rmdir "${D}/usr/$(get_libdir)/magic/doc" || die
+ dosym "/usr/share/doc/${PF}" "/usr/$(get_libdir)/magic/doc"
+
+ # Move tutorial from libdir to datadir and add symlink.
+ dodir /usr/share/${PN}
+ mv "${D}/usr/$(get_libdir)/magic/tutorial" "${D}/usr/share/${PN}/" || die
+ dosym "/usr/share/${PN}/tutorial" "/usr/$(get_libdir)/magic/tutorial"
+
+ # Install latest MOSIS tech files
+ cp -pPR "${WORKDIR}"/2002a "${D}"/usr/$(get_libdir)/magic/sys/current || die
+}
diff --git a/sci-electronics/magic/metadata.xml b/sci-electronics/magic/metadata.xml
new file mode 100644
index 000000000000..4bbd90c81497
--- /dev/null
+++ b/sci-electronics/magic/metadata.xml
@@ -0,0 +1,25 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Magic is a venerable VLSI layout tool, written in the 1980's at Berkeley by
+ John Ousterhout, of Tcl fame. Due largely in part to its liberal Berkeley
+ open-source license, magic has remained popular with universities and small
+ companies. The open-source license has allowed VLSI engineers with a bent
+ toward programming to implement clever ideas and help magic stay abreast of
+ fabrication technology. However, it is the well thought-out core algorithms
+ which lend to magic the greatest part of its popularity. Magic is widely cited
+ as being the easiest tool to use for circuit layout, even for people who
+ ultimately rely on commercial tools for their product design flow.
+ </longdescription>
+ <upstream>
+ <maintainer status="active">
+ <email>tim@opencircuitdesign.com</email>
+ <name>Timothy Edwards</name>
+ </maintainer>
+ <changelog>http://www.opencircuitdesign.com/magic/release.html</changelog>
+ <doc lang="en">http://www.opencircuitdesign.com/magic/magic_docs.html</doc>
+ <bugs-to>tim@opencircuitdesign.com</bugs-to>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/metadata.xml b/sci-electronics/metadata.xml
new file mode 100644
index 000000000000..3e91dd0524ca
--- /dev/null
+++ b/sci-electronics/metadata.xml
@@ -0,0 +1,37 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE catmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<catmetadata>
+ <longdescription lang="en">
+ The sci-electronics category contains electronics packages.
+ </longdescription>
+ <longdescription lang="de">
+ Die Kategorie sci-electronics enthält Pakete aus dem Bereich
+ Elektronik.
+ </longdescription>
+ <longdescription lang="es">
+ La categoría sci-electronics contiene paquetes relacionados con la
+ electrónica.
+ </longdescription>
+ <longdescription lang="ja">
+ sci-electronicsカテゴリーには電子工学のパッケージが含まれています。
+ </longdescription>
+ <longdescription lang="nl">
+ De sci-electronics categorie bevat aan electronica gerelateerde
+ pakketten.
+ </longdescription>
+ <longdescription lang="vi">
+ Nhóm sci-electronics chứa các phần mềm điện tử.
+ </longdescription>
+ <longdescription lang="it">
+ La categoria sci-electronics contiene programmi per l'elettronica.
+ </longdescription>
+ <longdescription lang="pt">
+ A categoria sci-electronics contém programas relacionados a
+ eletrônica.
+ </longdescription>
+ <longdescription lang="pl">
+ Kategoria sci-electronics zawiera programy naukowe związane z
+ elektroniką.
+ </longdescription>
+</catmetadata>
+
diff --git a/sci-electronics/netgen/Manifest b/sci-electronics/netgen/Manifest
new file mode 100644
index 000000000000..0f5131d624ba
--- /dev/null
+++ b/sci-electronics/netgen/Manifest
@@ -0,0 +1,2 @@
+DIST netgen-1.3.11.tgz 350678 SHA256 fae44331f5c6e660fbb385d3b15178f6e69fd368eaaf78a67dda8c08078d0198 SHA512 31bc3daccc99681268935f82f282df94ea3889d24d40ef53611e2c1a14d005422d813eb614426c85ef953ae214f362b5d502f2907745f756aa2fc2b014b1cd22 WHIRLPOOL de0defa39e43e4a43fab12003b9c2c38618abf99a45576ee8c33b41938891d2df1da279046b7bcfc83df6aa7a3d427c1926b93464302431c8602c69c46809204
+DIST netgen-1.4.52.tgz 408225 SHA256 c2ecdea7447d4af1bf4518eb722801025d28f27380ad52020a5043a98f0ffe80 SHA512 ecee6243181e90fbd277c1315b28c34539ebdf254a03544819dbeffe8ddd22fb7ca76c783364bbf97bfbf269cbb446dd6e16f95621774a6cbaa83f0e0b6dd703 WHIRLPOOL 0241f50f672adfd11b92b40876e56e1c924aa06fc27a98cb3f36151b724431eeaebf45ba22b15f41fe8a418108cd8ea911a57673ee058bf06ec55c3621a6b28d
diff --git a/sci-electronics/netgen/files/netgen-1.3.10-tcl-bin-name.patch b/sci-electronics/netgen/files/netgen-1.3.10-tcl-bin-name.patch
new file mode 100644
index 000000000000..c0caf10361a1
--- /dev/null
+++ b/sci-electronics/netgen/files/netgen-1.3.10-tcl-bin-name.patch
@@ -0,0 +1,14 @@
+--- netgen-1.4.40/tcltk/Makefile
++++ netgen-1.4.40/tcltk/Makefile
+@@ -42,8 +42,8 @@
+ ${CP} $* $(DESTDIR)${TCLDIR}/$*
+
+ $(DESTDIR)${BINDIR}/netgen.sh: netgen.sh
+- ${RM} $(DESTDIR)${BINDIR}/netgen.sh $(DESTDIR)${BINDIR}/netgen
+- ${CP} netgen.sh $(DESTDIR)${BINDIR}/netgen
+- (cd $(DESTDIR)${BINDIR}; chmod 0755 netgen)
++ ${RM} $(DESTDIR)${BINDIR}/netgen.sh $(DESTDIR)${BINDIR}/netgen-tcl
++ ${CP} netgen.sh $(DESTDIR)${BINDIR}/netgen-tcl
++ (cd $(DESTDIR)${BINDIR}; chmod 0755 netgen-tcl)
+
+ include ${NETGENDIR}/rules.mak
diff --git a/sci-electronics/netgen/files/netgen-1.4.40-tcl-bin-name.patch b/sci-electronics/netgen/files/netgen-1.4.40-tcl-bin-name.patch
new file mode 100644
index 000000000000..c0caf10361a1
--- /dev/null
+++ b/sci-electronics/netgen/files/netgen-1.4.40-tcl-bin-name.patch
@@ -0,0 +1,14 @@
+--- netgen-1.4.40/tcltk/Makefile
++++ netgen-1.4.40/tcltk/Makefile
+@@ -42,8 +42,8 @@
+ ${CP} $* $(DESTDIR)${TCLDIR}/$*
+
+ $(DESTDIR)${BINDIR}/netgen.sh: netgen.sh
+- ${RM} $(DESTDIR)${BINDIR}/netgen.sh $(DESTDIR)${BINDIR}/netgen
+- ${CP} netgen.sh $(DESTDIR)${BINDIR}/netgen
+- (cd $(DESTDIR)${BINDIR}; chmod 0755 netgen)
++ ${RM} $(DESTDIR)${BINDIR}/netgen.sh $(DESTDIR)${BINDIR}/netgen-tcl
++ ${CP} netgen.sh $(DESTDIR)${BINDIR}/netgen-tcl
++ (cd $(DESTDIR)${BINDIR}; chmod 0755 netgen-tcl)
+
+ include ${NETGENDIR}/rules.mak
diff --git a/sci-electronics/netgen/metadata.xml b/sci-electronics/netgen/metadata.xml
new file mode 100644
index 000000000000..1f1b0356769e
--- /dev/null
+++ b/sci-electronics/netgen/metadata.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <maintainer>
+ <email>xmw@gentoo.org</email>
+ <name>Michael Weber</name>
+ </maintainer>
+ <upstream>
+ <maintainer status="active">
+ <email>tim@opencircuitdesign.com</email>
+ <name>Timothy Edwards</name>
+ </maintainer>
+ <changelog>http://www.opencircuitdesign.com/netgen/history.html</changelog>
+ <doc lang="en">http://www.opencircuitdesign.com/netgen/reference.html</doc>
+ <bugs-to>tim@opencircuitdesign.com</bugs-to>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/netgen/netgen-1.3.11.ebuild b/sci-electronics/netgen/netgen-1.3.11.ebuild
new file mode 100644
index 000000000000..0717ecec650b
--- /dev/null
+++ b/sci-electronics/netgen/netgen-1.3.11.ebuild
@@ -0,0 +1,61 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils multilib
+
+DESCRIPTION="LVS tool (layout versus schematic comparison)"
+HOMEPAGE="http://www.opencircuitdesign.com/netgen/index.html"
+SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz"
+
+LICENSE="GPL-1"
+SLOT="0"
+KEYWORDS="~amd64"
+IUSE="X"
+
+DEPEND="X? (
+ dev-lang/tcl:0
+ dev-lang/tk:0
+ x11-libs/libX11 )"
+RDEPEND="${DEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${PN}-1.3.10-tcl-bin-name.patch
+
+ if $(use X) ; then
+ cp -r "${S}" "${WORKDIR}"/with-x || die
+ fi
+}
+
+src_configure() {
+ cd scripts
+ econf --without-x
+
+ if $(use X) ; then
+ cd "${WORKDIR}"/with-x/scripts || die
+ econf --with-x
+ fi
+}
+
+src_compile() {
+ emake
+
+ if $(use X) ; then
+ cd "${WORKDIR}"/with-x || die
+ emake
+ fi
+}
+
+src_install() {
+ dodir /usr/$(get_libdir)/${PN}
+ emake DESTDIR="${D}" DOCDIR=/usr/share/doc/${PF} install
+
+ if $(use X) ; then
+ cd "${WORKDIR}"/with-x || die
+ emake DESTDIR="${D}" DOCDIR=/usr/share/doc/${PF} install
+ fi
+
+ dodoc Changes README TO_DO
+}
diff --git a/sci-electronics/netgen/netgen-1.4.52.ebuild b/sci-electronics/netgen/netgen-1.4.52.ebuild
new file mode 100644
index 000000000000..42fc1a1506e6
--- /dev/null
+++ b/sci-electronics/netgen/netgen-1.4.52.ebuild
@@ -0,0 +1,60 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils
+
+DESCRIPTION="LVS tool (layout versus schematic comparison)"
+HOMEPAGE="http://www.opencircuitdesign.com/netgen/index.html"
+SRC_URI="http://www.opencircuitdesign.com/${PN}/archive/${P}.tgz"
+
+LICENSE="GPL-1"
+SLOT="0"
+KEYWORDS="~amd64"
+IUSE="X"
+
+DEPEND="X? (
+ dev-lang/tcl:0
+ dev-lang/tk:0
+ x11-libs/libX11 )"
+RDEPEND="${DEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${PN}-1.4.40-tcl-bin-name.patch
+
+ if $(use X) ; then
+ cp -r "${S}" "${WORKDIR}"/with-x || die
+ fi
+}
+
+src_configure() {
+ cd scripts
+ econf --without-x
+
+ if $(use X) ; then
+ cd "${WORKDIR}"/with-x/scripts || die
+ econf --with-x
+ fi
+}
+
+src_compile() {
+ emake
+
+ if $(use X) ; then
+ cd "${WORKDIR}"/with-x || die
+ emake
+ fi
+}
+
+src_install() {
+ emake DESTDIR="${D}" DOCDIR=/usr/share/doc/${PF} install
+
+ if $(use X) ; then
+ cd "${WORKDIR}"/with-x || die
+ emake DESTDIR="${D}" DOCDIR=/usr/share/doc/${PF} install
+ fi
+
+ dodoc Changes README TO_DO
+}
diff --git a/sci-electronics/ngspice/Manifest b/sci-electronics/ngspice/Manifest
new file mode 100644
index 000000000000..04a82ab9da56
--- /dev/null
+++ b/sci-electronics/ngspice/Manifest
@@ -0,0 +1,2 @@
+DIST ngspice-26-manual.pdf 2141771 SHA256 30b4fde001d012b0350aae3ba64892fb2f315d04f6761b95abd79f4b7c4cd85d SHA512 1c160aeef61d8091a6d788677bcf7ea682393cc886d5d535f87bb800cdae4f095cbf1e833babadea481956b904b4bdb688cc18b80d9d6a29cab33a54275abab1 WHIRLPOOL 7e9be918599599da3bd6fc754afdc453e1cc6171cabe2afe05da2be24433b4c1ee46728c29995dc62d04d08f966a441a853aa231b37b55e7bcfd587832dcb5b1
+DIST ngspice-26.tar.gz 6925987 SHA256 51e230c8b720802d93747bc580c0a29d1fb530f3dd06f213b6a700ca9a4d0108 SHA512 eb6a81df90de803c9f7544e8d0de7cc413aac169f69d1dec5cc6d2e667b66d42aede7f14c75bcdd10288be0c2ac07863fd4028b9fe59f2f515d680c2ffa41cab WHIRLPOOL cb356ee817fa00ab4a195c8380445adddf6426b5b08ca7c9af1bd96e6f43f3643bc6e4d2d9a7e4a3f3a79f43aa40b0da08721c2e42365c79b5f2e6bad6aef669
diff --git a/sci-electronics/ngspice/files/ngspice-23-flags.patch b/sci-electronics/ngspice/files/ngspice-23-flags.patch
new file mode 100644
index 000000000000..ad5c231eb2a9
--- /dev/null
+++ b/sci-electronics/ngspice/files/ngspice-23-flags.patch
@@ -0,0 +1,40 @@
+diff -Nadurp ngspice-23.orig//configure.ac ngspice-23/configure.ac
+--- ngspice-23.orig//configure.ac 2011-05-30 12:47:05.000000000 -0600
++++ ngspice-23/configure.ac 2011-07-14 10:47:14.668378976 -0600
+@@ -203,10 +203,10 @@ dnl the above AC_PROG_CC may set CFLAGS
+ if test "$enable_debug" = "no"; then
+ if test "x$GCC" = "xyes"; then
+ AC_MSG_WARN(Removing debugging option!)
+- CFLAGS="$ext_CFLAGS -O2 -Wall -Wextra -Wmissing-prototypes -Wstrict-prototypes -Wimplicit-function-declaration -Wnested-externs -Wold-style-definition -Wredundant-decls -s"
++ CFLAGS="$ext_CFLAGS -Wall -Wextra -Wmissing-prototypes -Wstrict-prototypes -Wimplicit-function-declaration -Wnested-externs -Wold-style-definition -Wredundant-decls"
+ else
+ AC_MSG_WARN(Removing debugging option!)
+- CFLAGS="$ext_CFLAGS -O2"
++ CFLAGS="$ext_CFLAGS"
+ fi
+ else
+ AC_DEFINE(NGDEBUG,1,[Compile with debug info])
+diff -Nadurp ngspice-23.orig//src/xspice/icm/makedefs.in ngspice-23/src/xspice/icm/makedefs.in
+--- ngspice-23.orig//src/xspice/icm/makedefs.in 2011-01-25 11:34:11.000000000 -0700
++++ ngspice-23/src/xspice/icm/makedefs.in 2011-07-14 10:58:25.521002437 -0600
+@@ -41,16 +41,16 @@ endif
+ CMPP = $(top_builddir)/src/xspice/cmpp/cmpp
+
+ # Flags to use when linking shared library
+-LDFLAGS = -shared
++LDFLAGS += -shared
+ ifeq ($(ISMINGW), 1)
+- LDFLAGS = -shared @LDFLAGS@
++ LDFLAGS += -shared @LDFLAGS@
+ endif
+ ifeq "$(strip $(uname))" "Darwin"
+- LDFLAGS = -bundle -flat_namespace -undefined suppress
++ LDFLAGS += -bundle -flat_namespace -undefined suppress
+ endif
+ ifeq "$(strip $(uname))" "SunOS"
+ ifneq "$(CC)" "gcc"
+- LDFLAGS = -G
++ LDFLAGS += -G
+ endif
+ endif
+
diff --git a/sci-electronics/ngspice/metadata.xml b/sci-electronics/ngspice/metadata.xml
new file mode 100644
index 000000000000..627c13b51b87
--- /dev/null
+++ b/sci-electronics/ngspice/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Ngspice is a mixed-level/mixed-signal circuit simulator. Its code is based on
+ three open source software packages: Spice3f5, Cider1b1 and Xspice.
+ </longdescription>
+ <upstream>
+ <remote-id type="sourceforge">ngspice</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/ngspice/ngspice-26.ebuild b/sci-electronics/ngspice/ngspice-26.ebuild
new file mode 100644
index 000000000000..484abd9bcdbd
--- /dev/null
+++ b/sci-electronics/ngspice/ngspice-26.ebuild
@@ -0,0 +1,103 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="3"
+
+inherit autotools eutils
+
+DESCRIPTION="The Next Generation Spice (Electronic Circuit Simulator)"
+SRC_URI="mirror://sourceforge/ngspice/${P}.tar.gz
+ mirror://sourceforge/ngspice/${PN}-${PV}-manual.pdf"
+HOMEPAGE="http://ngspice.sourceforge.net"
+LICENSE="BSD GPL-2"
+
+SLOT="0"
+IUSE="X debug readline"
+KEYWORDS="~amd64 ~ppc ~sparc ~x86"
+
+DEPEND="sys-libs/ncurses
+ readline? ( >=sys-libs/readline-5.0 )
+ X? ( x11-libs/libXaw
+ x11-libs/libXt
+ x11-libs/libX11
+ sci-visualization/xgraph )"
+
+RDEPEND="$DEPEND"
+
+src_prepare() {
+ sed -e '/CFLAGS=/s: -s::' -i configure.ac || die "sed failed"
+ sed -e 's/_CFLAGS -O2/_CFLAGS/' -i configure.ac || die "sed failed"
+ sed -e 's/LDFLAGS =/LDFLAGS +=/' -i src/xspice/icm/makedefs.in || die "sed failed"
+ sed -e '/AM_INIT_AUTOMAKE/s:-Werror::' -i configure.ac || die "sed failed"
+ # builds also with ncurses[tinfo] (bug #458128)
+ sed -e 's/ncurses termcap/ncurses termcap tinfo/g' -i configure.ac || die
+ eautoreconf
+}
+
+src_configure() {
+ local MYCONF
+ if use debug ; then
+ MYCONF="--enable-debug \
+ --enable-ftedebug \
+ --enable-cpdebug \
+ --enable-asdebug \
+ --enable-stepdebug \
+ --enable-pzdebug"
+ else
+ MYCONF="--disable-debug \
+ --disable-ftedebug \
+ --disable-cpdebug \
+ --disable-asdebug \
+ --disable-stepdebug \
+ --disable-pzdebug"
+ fi
+ # Those don't compile
+ MYCONF="${MYCONF} \
+ --disable-sensdebug \
+ --disable-blktmsdebug \
+ --disable-smltmsdebug"
+
+ econf \
+ ${MYCONF} \
+ --enable-xspice \
+ --enable-cider \
+ --enable-ndev \
+ --disable-xgraph \
+ --disable-dependency-tracking \
+ --disable-rpath \
+ $(use_with X x) \
+ $(use_with readline)
+}
+
+# These will need to be looked at some day:
+# --enable-adms
+# --enable-nodelimiting
+# --enable-predictor
+# --enable-newtrunc
+# --enable-openmp
+
+src_install () {
+ local infoFile
+ for infoFile in doc/ngspice.info*; do
+ echo 'INFO-DIR-SECTION EDA' >> ${infoFile}
+ echo 'START-INFO-DIR-ENTRY' >> ${infoFile}
+ echo '* NGSPICE: (ngspice). Electronic Circuit Simulator.' >> ${infoFile}
+ echo 'END-INFO-DIR-ENTRY' >> ${infoFile}
+ done
+
+ emake DESTDIR="${D}" install || die "make install failed"
+ dodoc ANALYSES AUTHORS BUGS ChangeLog DEVICES NEWS \
+ README Stuarts_Poly_Notes || die "failed to install documentation"
+
+ insinto /usr/share/doc/${PF}
+ doins "${DISTDIR}"/${PN}-${PV}-manual.pdf || die "failed to install manual"
+
+ # We don't need ngmakeidx to be installed
+ rm "${D}"/usr/bin/ngmakeidx
+}
+
+src_test () {
+ # Bug 108405
+ true
+}
diff --git a/sci-electronics/oregano/Manifest b/sci-electronics/oregano/Manifest
new file mode 100644
index 000000000000..471c79f900be
--- /dev/null
+++ b/sci-electronics/oregano/Manifest
@@ -0,0 +1,2 @@
+DIST oregano-0.82.tar.gz 1398390 SHA256 b68cdd0c80421b0571bf520d3f8b0d1793808fee1ac7ac28dcf8ebaff2cb1a2d SHA512 9c03f079e81f8de7d9e8880a890059bd8034e82bf47566ba3905943ccedc7a1642517fa2f6fcb2a2d10228c0bf79da055c1ed9bf3e07e505819641cd7cbf168c WHIRLPOOL 668ed4f056fc0d39a789bfcb67d80375738f54951127cd3007b3b8261a370a41b758728d97d40b4fb773f131636e20f0e721ddb6a0f3e0d640e6d344ef102010
+DIST oregano_0.69.1.orig.tar.gz 673785 SHA256 ec96f6d113c642aa423d4abf9d667802bc3754eda8a9f4e287b8b9cefbb4b589 SHA512 4dc12f90c70ef5ff5011cfc29f3c53e7ea4c77c3b38815919ebdf90fbcf3b157d4dc7327d62c190945f7c191f4584931a02dda50ae966aa59d6da5098e8ca5e2 WHIRLPOOL c1c78fdf1f5a26417d0272157301952f944c7552bc72fb2c7982ef454b4f4096f432950fc63fb78baeb0c686d0c3edbd036dc0ce1bc93c4f9af3c09f5c2889e1
diff --git a/sci-electronics/oregano/files/oregano-0.69.1-desktop_file_update.patch b/sci-electronics/oregano/files/oregano-0.69.1-desktop_file_update.patch
new file mode 100644
index 000000000000..5d3b2ef6d35a
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.69.1-desktop_file_update.patch
@@ -0,0 +1,26 @@
+#! /bin/sh /usr/share/dpatch/dpatch-run
+## desktop.file.update.dpatch by Maximiliano Curia <maxy@debian.org>
+##
+## DP: Update categories and name of desktop file
+
+@DPATCH@
+diff -urNad oregano-0.69.1~/oregano.desktop oregano-0.69.1/oregano.desktop
+--- oregano-0.69.1~/oregano.desktop 2009-07-07 12:49:49.000000000 -0300
++++ oregano-0.69.1/oregano.desktop 2009-07-22 20:41:53.209525839 -0300
+@@ -1,8 +1,6 @@
+ [Desktop Entry]
+ Encoding=UTF-8
+-Name=Oregano electrical engineering tool
+-Name[ca]=Eina d'enginyeria elèctrica Oregano
+-Name[es]=Oregano, herramienta para la ingeniería electrónica
++Name=Oregano
+ GenericName=Electrical engineering tool
+ GenericName[ca]=Eina d'enginyeria elèctrica
+ GenericName[es]=Herramienta para la ingeniería electrónica
+@@ -17,5 +15,5 @@
+ StartupNotify=true
+ Terminal=false
+ Type=Application
+-Categories=GNOME;GTK;Science;Education;Physics;
++Categories=GNOME;GTK;Science;Education;Electronics;
+ MimeType=application/x-oregano;
diff --git a/sci-electronics/oregano/files/oregano-0.69.1-fix-libm.patch b/sci-electronics/oregano/files/oregano-0.69.1-fix-libm.patch
new file mode 100644
index 000000000000..420ffe17f00c
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.69.1-fix-libm.patch
@@ -0,0 +1,11 @@
+--- src/SConscript.old 2009-07-07 19:49:49.000000000 +0400
++++ src/SConscript 2014-11-12 20:57:50.311089881 +0300
+@@ -67,6 +67,8 @@
+
+ SrcEnv.Append (CCFLAGS = Split ("-I./src -I./src/model -I./src/sheet -I./src/gplot -I./data/dialogs -I./src/engines"))
+
++SrcEnv.Append (LIBS = ['m'])
++
+ oregano = SrcEnv.Program ('oregano', files)
+
+ # Install alias # \ No newline at end of file
diff --git a/sci-electronics/oregano/files/oregano-0.69.1-scons_env_flags.patch b/sci-electronics/oregano/files/oregano-0.69.1-scons_env_flags.patch
new file mode 100644
index 000000000000..6e999e44e586
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.69.1-scons_env_flags.patch
@@ -0,0 +1,27 @@
+#! /bin/sh /usr/share/dpatch/dpatch-run
+## scons.env.flags.dpatch by Maximiliano Curia <maxy@debian.org>
+##
+## DP: Honour the CFLAGS and LDFLAGS environment variables
+
+@DPATCH@
+diff -urNad oregano-0.69.1~/SConstruct oregano-0.69.1/SConstruct
+--- oregano-0.69.1~/SConstruct 2009-07-07 12:49:49.000000000 -0300
++++ oregano-0.69.1/SConstruct 2009-07-22 19:59:31.665525831 -0300
+@@ -76,9 +76,14 @@
+ mo_bld = Builder (action = mo_builder)
+
+ CEnv.Append (BUILDERS = {'MoBuild' : mo_bld})
+-CEnv.Append (CCFLAGS = Split ('-Wall'));
+-if CEnv['Debug']:
+- CEnv.Append (CCFLAGS = Split ('-g'));
++if ( os.environ.has_key('CFLAGS') ):
++ CEnv.Append (CCFLAGS = Split (os.environ['CFLAGS']))
++else:
++ CEnv.Append (CCFLAGS = Split ('-Wall'))
++ if CEnv['Debug']:
++ CEnv.Append (CCFLAGS = Split ('-g'))
++if ( os.environ.has_key('LDFLAGS') ):
++ CEnv.Append (LINKFLAGS = Split (os.environ['LDFLAGS']))
+
+ # Check dependencies #
+ if not CEnv.GetOption ('clean'):
diff --git a/sci-electronics/oregano/files/oregano-0.82-asneeded.patch b/sci-electronics/oregano/files/oregano-0.82-asneeded.patch
new file mode 100644
index 000000000000..8432a918da65
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.82-asneeded.patch
@@ -0,0 +1,22 @@
+--- src/Makefile.am.orig 2012-12-06 09:58:37.000000000 +0100
++++ src/Makefile.am 2012-12-06 10:00:03.000000000 +0100
+@@ -34,10 +34,11 @@
+
+ bin_PROGRAMS = oregano
+
+-OREGANO_LIBS += gplot/libgplot.a \
++NEW_OREGANO_LIBS = gplot/libgplot.a \
+ engines/libengines.a \
+ model/libmodel.a \
+- sheet/libsheet.a
++ sheet/libsheet.a \
++ $(OREGANO_LIBS)
+
+ oregano_PIXMAPS = \
+ plot.xpm \
+@@ -99,4 +100,4 @@
+ oregano.h
+
+ oregano_LDADD = \
+- $(OREGANO_LIBS)
++ $(NEW_OREGANO_LIBS)
diff --git a/sci-electronics/oregano/files/oregano-0.82-automake.patch b/sci-electronics/oregano/files/oregano-0.82-automake.patch
new file mode 100644
index 000000000000..84f1bfd0ab10
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.82-automake.patch
@@ -0,0 +1,25 @@
+# adapt to new automake 0.13.1 (bug #467708)
+# switch to AC_CONFIG_HEADERS, correct AM_INIT_AUTOMAKE, quote text in
+# AC_MSG_ERROR
+--- configure.ac.old 2013-04-28 15:49:22.000000000 +0000
++++ configure.ac 2013-04-28 15:50:25.000000000 +0000
+@@ -1,7 +1,7 @@
+-AC_INIT(AUTHORS)
+-AM_INIT_AUTOMAKE(oregano, 0.81)
++AC_INIT(oregano,0.81,AUTHORS)
++AM_INIT_AUTOMAKE
+
+-AM_CONFIG_HEADER(config.h)
++AC_CONFIG_HEADERS(config.h)
+ AM_MAINTAINER_MODE
+
+ AC_CONFIG_MACRO_DIR([m4])
+@@ -38,7 +38,7 @@
+ AC_SUBST(SCROLLKEEPER_REQUIRED)
+ AC_PATH_PROG(SCROLLKEEPER_CONFIG, scrollkeeper-config,no)
+ if test x$SCROLLKEEPER_CONFIG = xno; then
+- AC_MSG_ERROR(Couldn't find scrollkeeper-config, please install the scrollkeeper package)
++ AC_MSG_ERROR([Couldn't find scrollkeeper-config, please install the scrollkeeper package])
+ fi
+
+
diff --git a/sci-electronics/oregano/files/oregano-0.82-format-security.patch b/sci-electronics/oregano/files/oregano-0.82-format-security.patch
new file mode 100644
index 000000000000..6242a1c71c14
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.82-format-security.patch
@@ -0,0 +1,35 @@
+From http://patch-tracker.debian.org/package/oregano/0.70-1
+
+## Description: Avoid format-security errors
+## Origin/Author: Maximiliano Curia <maxy@debian.org>
+Index: oregano-0.70/src/dialogs.c
+===================================================================
+--- oregano-0.70.orig/src/dialogs.c 2012-01-07 18:57:09.000000000 -0300
++++ oregano-0.70/src/dialogs.c 2012-01-07 19:26:46.000000000 -0300
+@@ -61,7 +61,7 @@
+ GTK_DIALOG_MODAL,
+ GTK_MESSAGE_ERROR,
+ GTK_BUTTONS_OK,
+- span_msg->str);
++ "%s", span_msg->str);
+
+ gtk_dialog_set_default_response (GTK_DIALOG (dialog), GTK_RESPONSE_OK);
+
+@@ -100,7 +100,7 @@
+ GTK_DIALOG_MODAL,
+ GTK_MESSAGE_WARNING,
+ GTK_BUTTONS_OK,
+- span_msg->str);
++ "%s", span_msg->str);
+
+ gtk_dialog_set_default_response (GTK_DIALOG (dialog), GTK_RESPONSE_OK);
+
+@@ -120,7 +120,7 @@
+ GTK_MESSAGE_QUESTION,
+ GTK_BUTTONS_OK,
+ GTK_BUTTONS_CANCEL,
+- msg);
++ "%s", msg);
+
+ gtk_dialog_set_default_response (GTK_DIALOG (dialog), GTK_RESPONSE_CANCEL);
+
diff --git a/sci-electronics/oregano/files/oregano-0.82-remove.unneeded.docs.patch b/sci-electronics/oregano/files/oregano-0.82-remove.unneeded.docs.patch
new file mode 100644
index 000000000000..df0d930dee23
--- /dev/null
+++ b/sci-electronics/oregano/files/oregano-0.82-remove.unneeded.docs.patch
@@ -0,0 +1,13 @@
+--- oregano-0.82/Makefile.am
++++ oregano-0.82/Makefile.am
+@@ -7,10 +7,8 @@
+ oreganodocdir = $(datadir)/doc/oregano
+ oreganodoc_DATA = \
+ README\
+- COPYING\
+ AUTHORS\
+ ChangeLog\
+- INSTALL\
+ NEWS\
+ TODO
+
diff --git a/sci-electronics/oregano/metadata.xml b/sci-electronics/oregano/metadata.xml
new file mode 100644
index 000000000000..caeaccf04b35
--- /dev/null
+++ b/sci-electronics/oregano/metadata.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Oregano is an application for schematic capture and simulation of electrical
+ circuits. The actual simulation is performed by Berkeley Spice, or GNUcap or
+ the new generation ngspice.
+ </longdescription>
+ <upstream>
+ <remote-id type="github">marc-lorber/oregano</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/oregano/oregano-0.69.1-r1.ebuild b/sci-electronics/oregano/oregano-0.69.1-r1.ebuild
new file mode 100644
index 000000000000..470b484aaa4c
--- /dev/null
+++ b/sci-electronics/oregano/oregano-0.69.1-r1.ebuild
@@ -0,0 +1,59 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit eutils fdo-mime flag-o-matic scons-utils
+
+DESCRIPTION="Oregano is an application for schematic capture and simulation of electrical circuits"
+SRC_URI="mirror://debian/pool/main/${PN:0:1}/${PN}/${P/-/_}.orig.tar.gz"
+HOMEPAGE="http://oregano.gforge.lug.fi.uba.ar/" # broken
+SLOT="0"
+KEYWORDS="amd64 ~ppc x86"
+LICENSE="GPL-2"
+IUSE=""
+
+CDEPEND="
+ dev-libs/libxml2:2
+ x11-libs/gtk+:2
+ gnome-base/libglade:2.0
+ gnome-base/libgnome
+ >=gnome-base/libgnomeui-2.12
+ >=gnome-base/libgnomecanvas-2.12
+ >=x11-libs/cairo-1.2
+ x11-libs/gtksourceview:2.0"
+DEPEND="${CDEPEND}
+ >=dev-util/scons-0.96.1
+ virtual/pkgconfig"
+RDEPEND="${CDEPEND}
+ sci-electronics/electronics-menu"
+
+src_prepare() {
+ # patches from debian
+ epatch "${FILESDIR}/${P}-desktop_file_update.patch"
+ epatch "${FILESDIR}/${P}-scons_env_flags.patch"
+ epatch "${FILESDIR}/${P}-fix-libm.patch"
+}
+
+src_compile() {
+ # bug 369875
+ strip-unsupported-flags
+ # see bug 363833
+ append-cflags -fno-omit-frame-pointer
+ escons --cache-disable PREFIX=/usr
+}
+
+src_install() {
+ escons --cache-disable PREFIX=/usr DESTDIR="${D}" RunUpdateMimeDatabase=no \
+ install
+ dodoc AUTHORS NEWS README docs/Library-HOWTO.txt
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ elog "You'll need to emerge your prefered simulation backend"
+ elog "such ngspice or gnucap for simulation to work."
+ elog "As an alternative generate a netlist and use sci-electronics/spice"
+ elog "from the command line for simulation."
+}
diff --git a/sci-electronics/oregano/oregano-0.82.ebuild b/sci-electronics/oregano/oregano-0.82.ebuild
new file mode 100644
index 000000000000..0ae4eb337190
--- /dev/null
+++ b/sci-electronics/oregano/oregano-0.82.ebuild
@@ -0,0 +1,65 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit autotools eutils fdo-mime vcs-snapshot gnome2-utils
+
+DESCRIPTION="Oregano is an application for schematic capture and simulation of electrical circuits"
+HOMEPAGE="https://github.com/marc-lorber/oregano"
+SRC_URI="https://github.com/marc-lorber/${PN}/tarball/v${PV} -> ${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+CDEPEND="dev-libs/libxml2:2
+ x11-libs/goocanvas:2.0
+ x11-libs/gtk+:3
+ x11-libs/gtksourceview:3.0
+ app-text/rarian"
+DEPEND="${CDEPEND}
+ virtual/pkgconfig"
+RDEPEND="${CDEPEND}
+ || ( gnome-base/dconf gnome-base/gconf )
+ sci-electronics/electronics-menu"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${P}-format-security.patch
+ epatch "${FILESDIR}"/${P}-remove.unneeded.docs.patch
+ epatch "${FILESDIR}"/${P}-asneeded.patch
+ # Do not use GTK_DISABLE_DEPRECATED (needed by >=gtk+-3.8.1
+ sed -i -e "s/-DGTK_DISABLE_DEPRECATED//g" src/sheet/Makefile.am || die
+ sed -i -e "s/-DGTK_DISABLE_DEPRECATED//g" src/Makefile.am || die
+ sed -i -e "s/(OREGANO_LIBS)/(OREGANO_LIBS) -lm/" src/Makefile.am || die
+ # Aclocal 1.13 deprecated error #467708
+ epatch "${FILESDIR}"/${P}-automake.patch
+ eautoreconf
+}
+
+src_configure() {
+ econf --disable-update-mimedb --disable-silent-rules
+}
+
+src_install() {
+ emake DESTDIR="${D}" oreganodocdir=/usr/share/doc/${PF} install
+}
+
+pkg_preinst() {
+ gnome2_schemas_savelist
+}
+
+pkg_postinst() {
+ gnome2_schemas_update
+ fdo-mime_desktop_database_update
+ elog "You'll need to emerge your prefered simulation backend"
+ elog "such ngspice or gnucap for simulation to work."
+ elog "As an alternative generate a netlist and use sci-electronics/spice"
+ elog "from the command line for simulation."
+}
+
+pkg_postrm() {
+ gnome2_schemas_update
+}
diff --git a/sci-electronics/osqoop/Manifest b/sci-electronics/osqoop/Manifest
new file mode 100644
index 000000000000..8c856ff9ed82
--- /dev/null
+++ b/sci-electronics/osqoop/Manifest
@@ -0,0 +1 @@
+DIST osqoop-1.1.1.tar.gz 419778 SHA256 44f136965b41dcc067770685fb7920cec0c161518dc0d383f61694395d568bc1
diff --git a/sci-electronics/osqoop/metadata.xml b/sci-electronics/osqoop/metadata.xml
new file mode 100644
index 000000000000..30ddc705c81d
--- /dev/null
+++ b/sci-electronics/osqoop/metadata.xml
@@ -0,0 +1,11 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <maintainer>
+ <email>xmw@gentoo.org</email>
+ <name>Michael Weber</name>
+ </maintainer>
+ <upstream>
+ <remote-id type="gitorious">osqoop</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/osqoop/osqoop-1.1.1.ebuild b/sci-electronics/osqoop/osqoop-1.1.1.ebuild
new file mode 100644
index 000000000000..3e01da3a58d7
--- /dev/null
+++ b/sci-electronics/osqoop/osqoop-1.1.1.ebuild
@@ -0,0 +1,34 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit cmake-utils toolchain-funcs
+
+DESCRIPTION="multi-platform open source software oscilloscope based on Qt 4"
+HOMEPAGE="http://gitorious.org/osqoop/"
+SRC_URI="mirror://gentoo/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="dev-qt/qtgui:4
+ virtual/libusb:0"
+DEPEND="${RDEPEND}"
+
+S=${WORKDIR}/${PN}-${PN}
+
+src_prepare() {
+ for f in $(find datasource processing -name CMakeLists.txt); do
+ sed -e '/install(TARGETS/s:DESTINATION :DESTINATION '$(get_libdir)/${PN}'/:' \
+ -i "${f}" || die
+ done
+ sed -e '/install(TARGETS/s:DESTINATION .:DESTINATION bin:' \
+ -i src/CMakeLists.txt helper/CMakeLists.txt || die
+
+ sed -e '/potentialDirs/s:/usr/share/osqoop/:'${EPREFIX}'/usr/'$(get_libdir)/${PN}'/:' \
+ -i src/OscilloscopeWindow.cpp || die
+}
diff --git a/sci-electronics/pcb/Manifest b/sci-electronics/pcb/Manifest
new file mode 100644
index 000000000000..4fed252b49dd
--- /dev/null
+++ b/sci-electronics/pcb/Manifest
@@ -0,0 +1 @@
+DIST pcb-20140316.tar.gz 4210077 SHA256 82c4f39438ee4e278196a3b67ef021145dcfbb00519508ccf51aa7832121c950 SHA512 5b9a2704b4d3c95f03afad0fe8fb5414b2aa9d680e40bf77ad9b46a9d4e14ba740858092794a9ff6788f31ac19063b126d8ceed6316c0d9eaaaa574e6678c071 WHIRLPOOL befd3c0116427f631ddf6c2dd49039589302908bbfcef5e524b66f625034dba87327bad500a2dba90aa1f44c2a922cfdf2a71a85e0ec8efeae5a1c4b080124be
diff --git a/sci-electronics/pcb/files/pcb-20110918-fix-config.diff b/sci-electronics/pcb/files/pcb-20110918-fix-config.diff
new file mode 100644
index 000000000000..86ac7c3aad40
--- /dev/null
+++ b/sci-electronics/pcb/files/pcb-20110918-fix-config.diff
@@ -0,0 +1,14 @@
+--- configure.ac.old 2012-03-11 17:02:27.000000000 +0100
++++ configure.ac 2012-03-11 17:02:37.000000000 +0100
+@@ -1252,11 +1252,6 @@
+ if test -d $srcdir/doc; then
+ AC_CONFIG_FILES(doc/Makefile)
+ fi
+-if test -d $srcdir/doc/gs; then
+- AC_CONFIG_FILES(doc/gs/Makefile)
+- AC_CONFIG_FILES(doc/gs/gafrc)
+- AC_CONFIG_FILES(doc/gs/gschemrc)
+-fi
+ if test -d $srcdir/example; then
+ AC_CONFIG_FILES(example/Makefile)
+ AC_CONFIG_FILES(example/libraries/Makefile)
diff --git a/sci-electronics/pcb/metadata.xml b/sci-electronics/pcb/metadata.xml
new file mode 100644
index 000000000000..80fcc7c9fb1e
--- /dev/null
+++ b/sci-electronics/pcb/metadata.xml
@@ -0,0 +1,23 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Printed Circuit Board layout tool. Used together with the gEDA tools for
+ Electronic Design Automation or on its own.
+ </longdescription>
+ <use>
+ <flag name="gif">GIF graphics export</flag>
+ <flag name="jpeg">JPEG graphics export</flag>
+ <flag name="nelma">NELMA file export</flag>
+ <flag name="png">PNG graphics export</flag>
+ <flag name="gcode">gcode file export</flag>
+ <flag name="tk">Build tcl/tk graphical QFP footprint generator</flag>
+ <flag name="xrender">Translucent PCB display for Motif/Lesstif GUI</flag>
+ <flag name="m4lib-png">Enable creating png previews for the m4 library</flag>
+ <flag name="toporouter">Build toporouter</flag>
+ </use>
+ <upstream>
+ <remote-id type="sourceforge">pcb</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/pcb/pcb-20140316.ebuild b/sci-electronics/pcb/pcb-20140316.ebuild
new file mode 100644
index 000000000000..d47a24357903
--- /dev/null
+++ b/sci-electronics/pcb/pcb-20140316.ebuild
@@ -0,0 +1,149 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit autotools eutils fdo-mime gnome2-utils toolchain-funcs
+
+DESCRIPTION="GPL Electronic Design Automation: Printed Circuit Board editor"
+HOMEPAGE="http://www.gpleda.org/"
+SRC_URI="mirror://sourceforge/pcb/pcb/${P}/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc x86 ~x86-macos"
+IUSE="dbus debug doc gcode gif gtk jpeg m4lib-png motif nelma opengl png
+test tk toporouter xrender"
+# toporouter-output USE flag removed, there seems to be no result
+
+CDEPEND="dev-libs/glib:2
+ gif? ( >=media-libs/gd-2.0.23 )
+ gtk? ( x11-libs/gtk+:2 x11-libs/pango
+ x11-libs/gtkglext
+ dbus? ( sys-apps/dbus ) )
+ jpeg? ( >=media-libs/gd-2.0.23[jpeg] )
+ motif? ( !gtk? (
+ >=x11-libs/motif-2.3:0
+ dbus? ( sys-apps/dbus )
+ xrender? ( >=x11-libs/libXrender-0.9 ) ) )
+ nelma? ( >=media-libs/gd-2.0.23 )
+ opengl? ( virtual/opengl )
+ gcode? ( >=media-libs/gd-2.0.23 )
+ virtual/libintl
+ png? ( >=media-libs/gd-2.0.23[png] )
+ m4lib-png? ( >=media-libs/gd-2.0.23[png] )
+ tk? ( >=dev-lang/tk-8 )"
+#toporouter-output? ( x11-libs/cairo )
+
+DEPEND="${CDEPEND}
+ test? (
+ || ( media-gfx/graphicsmagick[imagemagick] media-gfx/imagemagick )
+ sci-electronics/gerbv
+ )
+ >=dev-util/intltool-0.35
+ virtual/pkgconfig
+ sys-devel/gettext"
+
+RDEPEND="${CDEPEND}
+ sci-electronics/electronics-menu"
+
+DOCS="AUTHORS README NEWS ChangeLog"
+
+pkg_setup() {
+ if use gtk && use motif; then
+ elog "Can only build for GTK+ or Motif/Lesstif GUI. GTK+ has priority."
+ fi
+ if !(use gtk || use motif); then
+ elog "Building without GUI, make sure you know what you are doing."
+ fi
+ if use dbus && !(use gtk || use motif); then
+ elog "dbus needs GTK or Motif/Lesstif GUI. Try USE=-dbus or USE=gtk or USE=motif."
+ fi
+ if use opengl && !(use gtk); then
+ elog "GL drawing needs GTK"
+ fi
+ if (use gtk || (! use gtk && ! use motif)) && (use xrender); then
+ elog "The XRender extension is only usable with the Motif/Lesstif GUI."
+ fi
+}
+
+src_prepare() {
+ if use test; then
+ # adapt the list of tests to run according to USE flag settings
+ if ! use png; then
+ sed -i '/^hid_png/d' tests/tests.list || die
+ fi
+ if ! use gcode; then
+ sed -i '/^hid_gcode/d' tests/tests.list || die
+ fi
+ fi
+ # Backport from upstream
+ # http://git.geda-project.org/pcb/commit/?id=a34b40add60310a51780f359cc90d9c5ee75752c
+ # (do not install static GTS library)
+ sed -i -e 's/lib_LIBRARIES/noinst_LIBRARIES/' -e 's/include_HEADERS/noinst_HEADERS/' gts/Makefile.am || die
+
+ # fix bad syntax in Makefile.am and configure.ac before running eautoreconf
+ sed -i -e 's/:=/=/' Makefile.am || die
+ epatch "${FILESDIR}"/${PN}-20110918-fix-config.diff
+ eautoreconf
+}
+
+src_configure() {
+ local myconf
+ if use gtk ; then
+ myconf="--with-gui=gtk $(use_enable dbus) $(use_enable opengl gl) --disable-xrender"
+ elif use motif ; then
+ myconf="--with-gui=lesstif $(use_enable dbus) $(use_enable xrender)"
+ else
+ myconf="--with-gui=batch --disable-xrender --disable-dbus"
+ fi
+
+ local exporters="bom gerber ps"
+ if (use png || use jpeg || use gif) ; then
+ exporters="${exporters} png"
+ fi
+ use nelma && exporters="${exporters} nelma"
+ use gcode && exporters="${exporters} gcode"
+ use tk || export WISH="${EPREFIX}/bin/true"
+
+ econf \
+ ${myconf} \
+ $(use_enable doc) \
+ $(use_enable gif) \
+ $(use_enable jpeg) \
+ $(use_enable png) \
+ $(use_enable m4lib-png) \
+ $(use_enable toporouter) \
+ $(use_enable debug) \
+ --enable-nls \
+ --disable-toporouter-output \
+ --with-exporters="${exporters}" \
+ --disable-dependency-tracking \
+ --disable-rpath \
+ --disable-update-mime-database \
+ --disable-update-desktop-database \
+ --docdir="${EPREFIX}/usr/share/doc/${PF}"
+}
+# toporouter-output USE flag removed, there seems to be no result
+# $(use_enable toporouter-output) \
+
+src_compile() {
+ emake AR="$(tc-getAR)"
+}
+
+pkg_preinst() {
+ gnome2_icon_savelist
+}
+
+pkg_postinst() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
+
+pkg_postrm() {
+ fdo-mime_desktop_database_update
+ fdo-mime_mime_database_update
+ gnome2_icon_cache_update
+}
diff --git a/sci-electronics/petrify/Manifest b/sci-electronics/petrify/Manifest
new file mode 100644
index 000000000000..d18573dc9932
--- /dev/null
+++ b/sci-electronics/petrify/Manifest
@@ -0,0 +1 @@
+DIST petrify-4.2-linux.tgz 1117093 SHA256 bd324a9a04c1786eb6826348c01d177e37ac101d3dde260f0c0f3dc8204c1e0f SHA512 d3cdc4991ec5084ec5b676d74a2af935459fadfa3479d09871f5a4723205b576ab8f3f91e44cd37a4c47c7ae687ead7e25c7aae3d6eae29d2896b3a215cb1980 WHIRLPOOL 14fbc93611684e5a24dd799e2b8ee85de47c7f7541f7fd92950432108663cb192ddf16f07ce3088de683c0a673ff2c3a608de76e32205ac257ff802e0c7a1408
diff --git a/sci-electronics/petrify/metadata.xml b/sci-electronics/petrify/metadata.xml
new file mode 100644
index 000000000000..617189f8bd24
--- /dev/null
+++ b/sci-electronics/petrify/metadata.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ Petrify is a tool for synthesis of Petri nets and asynchronous controllers.
+ Petrify is able to obtain Petri nets with some specific properties: pure, free
+ choice, unique choice, place irredundant, etc... The Petri nets accepted by
+ petrify can also be interpreted as Signal Transition Graphs describing the
+ behavior of asynchronous controllers. Petrify is able to solve the Complete
+ State Coding problem and generate a speed-independent circuit.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/petrify/petrify-4.2-r1.ebuild b/sci-electronics/petrify/petrify-4.2-r1.ebuild
new file mode 100644
index 000000000000..4087180d3833
--- /dev/null
+++ b/sci-electronics/petrify/petrify-4.2-r1.ebuild
@@ -0,0 +1,36 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+DESCRIPTION="Synthesize Petri nets into asynchronous circuits"
+HOMEPAGE="http://www.lsi.upc.edu/~jordicf/petrify/"
+SRC_URI="http://www.lsi.upc.edu/~jordicf/petrify/distrib/petrify-4.2-linux.tgz"
+
+LICENSE="Old-MIT"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="media-gfx/graphviz"
+DEPEND=""
+
+RESTRICT="strip"
+
+QA_PREBUILT="/opt/petrify/petrify"
+
+S="${WORKDIR}"/${PN}
+
+src_install () {
+ exeinto /opt/petrify
+ doexe bin/petrify lib/petrify.lib
+ dosym petrify /opt/petrify/draw_astg
+ dosym petrify /opt/petrify/write_sg
+
+ dodoc doc/*
+ doman man/man1/*
+
+ dodir /etc/env.d
+ echo "PATH=${EPREFIX}/opt/petrify" > "${ED}"/etc/env.d/00petrify
+}
diff --git a/sci-electronics/plcedit/Manifest b/sci-electronics/plcedit/Manifest
new file mode 100644
index 000000000000..80bdc0c21bee
--- /dev/null
+++ b/sci-electronics/plcedit/Manifest
@@ -0,0 +1 @@
+DIST plcedit-2.2.1.tar.gz 2855243 SHA256 c38be06f538d253052418308ae739e3230a3fb96c57126a1d52b0ea80dbaddfa SHA512 dee6dedd6b7fcd979311fa25e694b53eb9588bf713b9638a3e1355a155c467974c5cc41c4375d4f9f104e9c850024ba51a643011a107e21abbfbfc38c2dae7c2 WHIRLPOOL b6f2ec9e78754067ef1e8b7336f65d1617224b822b4f3cafad4d23e2504233bc68de4fa5d30413577e5a848a519ddf1746d5512757481c4f7383c8296d439e94
diff --git a/sci-electronics/plcedit/files/plcedit-2.2.1-gcc47.patch b/sci-electronics/plcedit/files/plcedit-2.2.1-gcc47.patch
new file mode 100644
index 000000000000..046a27cbac8c
--- /dev/null
+++ b/sci-electronics/plcedit/files/plcedit-2.2.1-gcc47.patch
@@ -0,0 +1,15 @@
+ https://bugs.gentoo.org/450856
+
+ src/singleapp/qtlocalpeer.cpp | 1 +
+ 1 file changed, 1 insertion(+)
+
+--- a/src/singleapp/qtlocalpeer.cpp
++++ b/src/singleapp/qtlocalpeer.cpp
+@@ -57,6 +57,7 @@ static PProcessIdToSessionId pProcessIdToSessionId = 0;
+ #endif
+ #if defined(Q_OS_UNIX)
+ #include <time.h>
++#include <unistd.h>
+ #endif
+
+ namespace QtLP_Private {
diff --git a/sci-electronics/plcedit/metadata.xml b/sci-electronics/plcedit/metadata.xml
new file mode 100644
index 000000000000..d5f9562e2df2
--- /dev/null
+++ b/sci-electronics/plcedit/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>qt</herd>
+<maintainer>
+<email>hwoarang@gentoo.org</email>
+<name>Markos Chandras</name>
+</maintainer>
+<longdescription lang="en">
+</longdescription>
+</pkgmetadata>
+
diff --git a/sci-electronics/plcedit/plcedit-2.2.1.ebuild b/sci-electronics/plcedit/plcedit-2.2.1.ebuild
new file mode 100644
index 000000000000..47a22e7be235
--- /dev/null
+++ b/sci-electronics/plcedit/plcedit-2.2.1.ebuild
@@ -0,0 +1,43 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+inherit eutils versionator qt4-r2
+MY_PN="PLCEdit"
+
+DESCRIPTION="Qt4 notepad for PLC programming"
+HOMEPAGE="http://www.qt-apps.org/content/show.php/PLCEdit?content=78380"
+#upstreams default tarball is quite messy. Better repack it myself :/
+SRC_URI="http://dev.gentoo.org/~hwoarang/distfiles/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="debug doc"
+
+RDEPEND="dev-qt/qtgui:4"
+DEPEND="${RDEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}"/${P}-gcc47.patch
+
+ if use doc; then
+ sed -i -e "/helpDir =/s:Help:html:" \
+ -e "s:(QApplication\:\:applicationDirPath():\"/usr/share/doc/${PF}\":g" \
+ -e "/ + helpDir/s:helpDir):helpDir:" \
+ src/helpwidget.cpp
+ fi
+ qt4-r2_src_prepare
+}
+
+src_install() {
+ newbin release/${MY_PN} ${PN} || die "dobin failed"
+ newicon src/ressources/images/icon.png ${PN}.png
+ make_desktop_entry ${PN} ${MY_PN} ${PN} 'Qt;Electronics'
+ dodoc readme.txt || die "dodoc failed"
+ if use doc; then
+ dohtml -r Docs/html/* || die "dohtml failed"
+ fi
+}
diff --git a/sci-electronics/puff/Manifest b/sci-electronics/puff/Manifest
new file mode 100644
index 000000000000..d18765f728a5
--- /dev/null
+++ b/sci-electronics/puff/Manifest
@@ -0,0 +1 @@
+DIST puff-20100127.tgz 430028 SHA256 055b9831abda64d59fddc74bce0fa0b4a393101725ad06281002411f66dc6dbd SHA512 d39d74300a5f6d226dcd6147f3c63cf30396abd14d765b24b48dbd1956c81a10d4233c141789648423aa44631197e1ec160630f9b20b6ac5ae641ae67cdcb2da WHIRLPOOL e405377a63c1d33af188eafb6a902a18dd44c95f04d8ce1b9b2b27954cdca6776ce495fb49d10607e44ec85c23a6225e7719ef66c8aa4d302f7d9006f2655dc8
diff --git a/sci-electronics/puff/metadata.xml b/sci-electronics/puff/metadata.xml
new file mode 100644
index 000000000000..54e80a6841ab
--- /dev/null
+++ b/sci-electronics/puff/metadata.xml
@@ -0,0 +1,9 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <maintainer>
+ <email>tomjbe@gentoo.org</email>
+ <name>Thomas Beierlein</name>
+ </maintainer>
+</pkgmetadata>
diff --git a/sci-electronics/puff/puff-20100127.ebuild b/sci-electronics/puff/puff-20100127.ebuild
new file mode 100644
index 000000000000..51c2138057bf
--- /dev/null
+++ b/sci-electronics/puff/puff-20100127.ebuild
@@ -0,0 +1,49 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+inherit flag-o-matic multilib
+
+DESCRIPTION="microwave CAD software"
+HOMEPAGE="http://wwwhome.cs.utwente.nl/~ptdeboer/ham/puff/"
+SRC_URI="http://wwwhome.cs.utwente.nl/~ptdeboer/ham/${PN}/${P}.tgz"
+
+LICENSE="GPL-3"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="x11-libs/libX11"
+DEPEND="${RDEPEND}
+ dev-lang/fpc
+ amd64? ( >=dev-lang/fpc-2.4.0 )"
+
+src_prepare() {
+ # fix lib path for X11 and dont ignore LDFLAGS
+ sed -i -e "s#lib\\\/#$(get_libdir)\\\/#" \
+ -e 's/CFLAGS/#CFLAGS/' \
+ -e 's/link.res pu/link.res $(LDFLAGS) pu/' Makefile || die
+}
+
+src_compile() {
+ LDFLAGS="$(raw-ldflags)"
+ emake -j1 || die
+}
+
+src_install() {
+ dobin puff || die
+
+ dodoc changelog.txt README.txt || die
+ newdoc "Puff Manual.pdf" Puff_Manual.pdf || die
+
+ insinto /usr/share/${PN}
+ doins setup.puf || die
+ doins -r orig_dev_and_puf_files || die
+}
+
+pkg_postinst() {
+ elog "You must copy /usr/share/${PN}/setup.puf into your working directory"
+ elog "before using the program."
+}
diff --git a/sci-electronics/pulseview/Manifest b/sci-electronics/pulseview/Manifest
new file mode 100644
index 000000000000..68d32e1e60a0
--- /dev/null
+++ b/sci-electronics/pulseview/Manifest
@@ -0,0 +1 @@
+DIST pulseview-0.2.0.tar.gz 148799 SHA256 feb5d33a0a91c989bfc39fa758195755e78e87c3cf445bb135a8c8d4f86bc1dd SHA512 f770510ceade6382de2d09ba7819a9b39623f3ccbbb4a3a64bb0a64f774d356583f6ad2812a845978d1eaf50dcbfd4688ceec3005d0b712a087d1f686d3c0301 WHIRLPOOL 31068fcebd4286d325db37327c351e8cbd00e095901c04a3d034c127b1272e7c463400a91683cbb64a775b86f5cf61411f1f809e7b4dcd76113ceeb63990eaa2
diff --git a/sci-electronics/pulseview/metadata.xml b/sci-electronics/pulseview/metadata.xml
new file mode 100644
index 000000000000..9ec6e5e675dc
--- /dev/null
+++ b/sci-electronics/pulseview/metadata.xml
@@ -0,0 +1,8 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>dev-embedded</herd>
+<use>
+ <flag name='decode'>Enable decoding of protocols while capturing</flag>
+</use>
+</pkgmetadata>
diff --git a/sci-electronics/pulseview/pulseview-0.2.0.ebuild b/sci-electronics/pulseview/pulseview-0.2.0.ebuild
new file mode 100644
index 000000000000..cf87801f711c
--- /dev/null
+++ b/sci-electronics/pulseview/pulseview-0.2.0.ebuild
@@ -0,0 +1,46 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+PYTHON_COMPAT=( python3_{3,4} )
+inherit eutils cmake-utils python-single-r1
+
+if [[ ${PV} == "9999" ]]; then
+ EGIT_REPO_URI="git://sigrok.org/${PN}"
+ inherit git-2
+else
+ SRC_URI="http://sigrok.org/download/source/${PN}/${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+fi
+
+DESCRIPTION="Qt based logic analyzer GUI for sigrok"
+HOMEPAGE="http://sigrok.org/wiki/PulseView"
+
+LICENSE="GPL-3"
+SLOT="0"
+IUSE="+decode static"
+REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
+
+RDEPEND=">=dev-libs/glib-2.28.0
+ >=sci-libs/libsigrok-0.3.0
+ dev-qt/qtgui:4
+ >=dev-libs/boost-1.42
+ decode? (
+ >=sci-libs/libsigrokdecode-0.3.0
+ ${PYTHON_DEPS}
+ )"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+DOCS=( HACKING NEWS README )
+
+src_configure() {
+ local mycmakeargs=(
+ -DDISABLE_WERROR=TRUE
+ $(cmake-utils_use_enable decode DECODE)
+ $(cmake-utils_use_enable static STATIC_PKGDEPS_LIBS)
+ )
+ cmake-utils_src_configure
+}
diff --git a/sci-electronics/pulseview/pulseview-9999.ebuild b/sci-electronics/pulseview/pulseview-9999.ebuild
new file mode 100644
index 000000000000..cf87801f711c
--- /dev/null
+++ b/sci-electronics/pulseview/pulseview-9999.ebuild
@@ -0,0 +1,46 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+PYTHON_COMPAT=( python3_{3,4} )
+inherit eutils cmake-utils python-single-r1
+
+if [[ ${PV} == "9999" ]]; then
+ EGIT_REPO_URI="git://sigrok.org/${PN}"
+ inherit git-2
+else
+ SRC_URI="http://sigrok.org/download/source/${PN}/${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+fi
+
+DESCRIPTION="Qt based logic analyzer GUI for sigrok"
+HOMEPAGE="http://sigrok.org/wiki/PulseView"
+
+LICENSE="GPL-3"
+SLOT="0"
+IUSE="+decode static"
+REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
+
+RDEPEND=">=dev-libs/glib-2.28.0
+ >=sci-libs/libsigrok-0.3.0
+ dev-qt/qtgui:4
+ >=dev-libs/boost-1.42
+ decode? (
+ >=sci-libs/libsigrokdecode-0.3.0
+ ${PYTHON_DEPS}
+ )"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+DOCS=( HACKING NEWS README )
+
+src_configure() {
+ local mycmakeargs=(
+ -DDISABLE_WERROR=TRUE
+ $(cmake-utils_use_enable decode DECODE)
+ $(cmake-utils_use_enable static STATIC_PKGDEPS_LIBS)
+ )
+ cmake-utils_src_configure
+}
diff --git a/sci-electronics/qelectrotech/Manifest b/sci-electronics/qelectrotech/Manifest
new file mode 100644
index 000000000000..d4f1085acbc1
--- /dev/null
+++ b/sci-electronics/qelectrotech/Manifest
@@ -0,0 +1 @@
+DIST qelectrotech-0.3-src.tar.gz 4600831 SHA256 9a699c724800466ad7d0dd0e60099fe1ceea7665d93d6d0ff27daddeac251c00 SHA512 3676a17e04cffc10c82c7d3eaf65fdc7d2a4875761d99726c5cc8f5c1aa2dbd0699a95090d52e7507f4f1b5916c7301e7a931b2aea4b49812a4a0b3011f2f2a2 WHIRLPOOL f1b8acbefdbc1f87d5b9dbe78d5e0dd825841cfc90fd66b5713b41cc1147eb86b01a1f9ef3da80218bc8dc7cd474e02a21a828d526dcef22c17046163697de66
diff --git a/sci-electronics/qelectrotech/files/qelectrotech-0.3-fix-paths.patch b/sci-electronics/qelectrotech/files/qelectrotech-0.3-fix-paths.patch
new file mode 100644
index 000000000000..c55c19ce5d29
--- /dev/null
+++ b/sci-electronics/qelectrotech/files/qelectrotech-0.3-fix-paths.patch
@@ -0,0 +1,49 @@
+diff -Naur qelectrotech-0.3-src.orig/qelectrotech.pro qelectrotech-0.3-src/qelectrotech.pro
+--- qelectrotech-0.3-src.orig/qelectrotech.pro 2013-12-22 17:27:31.919047334 +0100
++++ qelectrotech-0.3-src/qelectrotech.pro 2013-12-22 17:29:28.576908241 +0100
+@@ -5,20 +5,20 @@
+ # Chemins utilises pour la compilation et l'installation de QET
+ unix {
+ # Chemins UNIX
+- COMPIL_PREFIX = '/usr/local/'
+- INSTALL_PREFIX = '/usr/local/'
++ COMPIL_PREFIX = '/usr/'
++ INSTALL_PREFIX = '/usr/'
+ QET_BINARY_PATH = 'bin/'
+ QET_COMMON_COLLECTION_PATH = 'share/qelectrotech/elements/'
+ QET_COMMON_TBT_PATH = 'share/qelectrotech/titleblocks/'
+ QET_LANG_PATH = 'share/qelectrotech/lang/'
+ QET_EXAMPLES_PATH = 'share/qelectrotech/examples/'
+ QET_LICENSE_PATH = 'doc/qelectrotech/'
+- QET_MIME_XML_PATH = '../share/mime/application/'
+- QET_MIME_DESKTOP_PATH = '../share/mimelnk/application/'
+- QET_MIME_PACKAGE_PATH = '../share/mime/packages/'
++ QET_MIME_XML_PATH = 'share/mime/application/'
++ QET_MIME_DESKTOP_PATH = 'share/mimelnk/application/'
++ QET_MIME_PACKAGE_PATH = 'share/mime/packages/'
+ QET_DESKTOP_PATH = 'share/applications/'
+ QET_ICONS_PATH = 'share/icons/hicolor/'
+- QET_MAN_PATH = 'man/'
++ QET_MAN_PATH = 'share/man/'
+ QET_APPDATA_PATH = 'share/appdata'
+ }
+ win32 {
+@@ -108,9 +108,6 @@
+ examples.path = $$join(INSTALL_PREFIX,,,$${QET_EXAMPLES_PATH})
+ examples.files = examples/*
+
+-copyright.path = $$join(INSTALL_PREFIX,,,$${QET_LICENSE_PATH})
+-copyright.files = LICENSE ELEMENTS.LICENSE CREDIT README ChangeLog
+-
+ mime_xml.path = $$join(INSTALL_PREFIX,,,$${QET_MIME_XML_PATH})
+ mime_xml.files = misc/x-qet-*.xml
+
+@@ -140,7 +137,7 @@
+ man.extra = sh man/compress_man_pages.sh
+
+ # L'installation comprend la copie du binaire, des elements, des fichiers de langue et du fichier LICENSE
+-INSTALLS += target elements tbt lang copyright
++INSTALLS += target elements tbt lang
+ # Sous Unix, on installe egalement l'icone, un fichier .desktop, des fichiers mime et les pages de manuel
+ unix {
+ INSTALLS += desktop mime_xml mime_desktop mime_package icons man examples appdata
diff --git a/sci-electronics/qelectrotech/metadata.xml b/sci-electronics/qelectrotech/metadata.xml
new file mode 100644
index 000000000000..dc439f7e84f5
--- /dev/null
+++ b/sci-electronics/qelectrotech/metadata.xml
@@ -0,0 +1,5 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>qt</herd>
+</pkgmetadata>
diff --git a/sci-electronics/qelectrotech/qelectrotech-0.30.ebuild b/sci-electronics/qelectrotech/qelectrotech-0.30.ebuild
new file mode 100644
index 000000000000..e4bac2177e2b
--- /dev/null
+++ b/sci-electronics/qelectrotech/qelectrotech-0.30.ebuild
@@ -0,0 +1,56 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+PLOCALES="cs de el en es fr it pl pt ro ru"
+
+inherit l10n qt4-r2
+
+MY_P=${PN}-${PV%0}-src
+
+DESCRIPTION="Qt4 application to design electric diagrams"
+HOMEPAGE="http://qelectrotech.org/"
+SRC_URI="http://download.tuxfamily.org/qet/tags/20130928/${MY_P}.tar.gz"
+
+LICENSE="GPL-3"
+SLOT="0"
+KEYWORDS="amd64 x86"
+
+IUSE="doc"
+
+RDEPEND="
+ dev-qt/designer:4
+ dev-qt/qtcore:4
+ dev-qt/qtgui:4
+ dev-qt/qtsql:4[sqlite]
+ dev-qt/qtsvg:4
+"
+DEPEND="${RDEPEND}
+ doc? ( app-doc/doxygen )
+"
+
+S=${WORKDIR}/${MY_P}
+
+DOCS=(CREDIT ChangeLog README)
+PATCHES=(
+ "${FILESDIR}/${PN}-0.3-fix-paths.patch"
+)
+
+qet_disable_translation() {
+ sed -i -e "/TRANSLATIONS +=/s: lang/qet_${1}.ts::" ${PN}.pro || die
+}
+
+src_prepare() {
+ qt4-r2_src_prepare
+ l10n_for_each_disabled_locale_do qet_disable_translation
+}
+
+src_install() {
+ qt4-r2_src_install
+
+ if use doc; then
+ doxygen Doxyfile || die
+ dodoc -r doc/html
+ fi
+}
diff --git a/sci-electronics/qelectrotech/qelectrotech-9999.ebuild b/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
new file mode 100644
index 000000000000..8f2f239991be
--- /dev/null
+++ b/sci-electronics/qelectrotech/qelectrotech-9999.ebuild
@@ -0,0 +1,52 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+PLOCALES="cs de el en es fr it pl pt ro ru"
+
+inherit l10n qt4-r2 subversion
+
+DESCRIPTION="Qt4 application to design electric diagrams"
+HOMEPAGE="http://qelectrotech.org/"
+ESVN_REPO_URI="svn://svn.tuxfamily.org/svnroot/qet/qet/trunk"
+
+LICENSE="GPL-3"
+SLOT="0"
+KEYWORDS=""
+
+IUSE="doc"
+
+RDEPEND="
+ dev-qt/designer:4
+ dev-qt/qtcore:4
+ dev-qt/qtgui:4
+ dev-qt/qtsql:4[sqlite]
+ dev-qt/qtsvg:4
+"
+DEPEND="${RDEPEND}
+ doc? ( app-doc/doxygen )
+"
+
+DOCS=(CREDIT ChangeLog README)
+PATCHES=(
+ "${FILESDIR}/${PN}-0.3-fix-paths.patch"
+)
+
+qet_disable_translation() {
+ sed -i -e "/TRANSLATIONS +=/s: lang/qet_${1}.ts::" ${PN}.pro || die
+}
+
+src_prepare() {
+ qt4-r2_src_prepare
+ l10n_for_each_disabled_locale_do qet_disable_translation
+}
+
+src_install() {
+ qt4-r2_src_install
+
+ if use doc; then
+ doxygen Doxyfile || die
+ dodoc -r doc/html
+ fi
+}
diff --git a/sci-electronics/qucs/Manifest b/sci-electronics/qucs/Manifest
new file mode 100644
index 000000000000..ee0a91f27678
--- /dev/null
+++ b/sci-electronics/qucs/Manifest
@@ -0,0 +1 @@
+DIST qucs-0.0.17.130503.tar.gz 10380925 SHA256 28dff7da734d9910b362a0f3e4594aefb9986bde3f37e15aff2b9068e41ad774 SHA512 93ed20b34a5fa0c8dac7711d6797d373e5da90e727822b96c268f8fb284928bbde397274db2c638a5190d5916ca2bf55e849de95f327e0c55b3877b8897bb765 WHIRLPOOL ea590687cb44318473179c63704305720c0c5f3cc4c9816d798617ef745e5ee1dae3b4494e351bcdcc924eba25601c97e7cdfe8a2704c5c5b353dee6ac87a38c
diff --git a/sci-electronics/qucs/metadata.xml b/sci-electronics/qucs/metadata.xml
new file mode 100644
index 000000000000..cf8c179db29c
--- /dev/null
+++ b/sci-electronics/qucs/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <maintainer>
+ <email>mgorny@gentoo.org</email>
+ <name>Michał Górny</name>
+ </maintainer>
+ <upstream>
+ <remote-id type="sourceforge">qucs</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/qucs/qucs-0.0.17.130503.ebuild b/sci-electronics/qucs/qucs-0.0.17.130503.ebuild
new file mode 100644
index 000000000000..7de4da3f285f
--- /dev/null
+++ b/sci-electronics/qucs/qucs-0.0.17.130503.ebuild
@@ -0,0 +1,33 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit autotools-utils flag-o-matic toolchain-funcs
+
+DESCRIPTION="Quite Universal Circuit Simulator in Qt4"
+HOMEPAGE="http://qucs.sourceforge.net/"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tar.gz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RDEPEND="dev-qt/qtcore:4[qt3support]
+ dev-qt/qtgui:4[qt3support]
+ dev-qt/qt3support:4
+ x11-libs/libX11"
+DEPEND="${RDEPEND}"
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_configure() {
+ # the package doesn't use pkg-config on Linux, only on Darwin
+ # very smart of upstream...
+ append-ldflags $( $(tc-getPKG_CONFIG) --libs-only-L \
+ QtCore QtGui QtXml Qt3Support )
+
+ autotools-utils_src_configure
+}
diff --git a/sci-electronics/sigrok-cli/Manifest b/sci-electronics/sigrok-cli/Manifest
new file mode 100644
index 000000000000..477ac094fd40
--- /dev/null
+++ b/sci-electronics/sigrok-cli/Manifest
@@ -0,0 +1 @@
+DIST sigrok-cli-0.5.0.tar.gz 390077 SHA256 73a30501525b13c09624ae00d37041cdaa50238d89c6febf169fc784affe723c SHA512 27945c8da71267b0e0e6762d646361ab23637e3187d68fba93a1306a4b01418c78d5779d885e1ad91304c3865f980e9472986215c40900ec25abe9eb5a437fde WHIRLPOOL 94a9c0c97cf9eae5b7b3b7d7157e0a38e27e74682f9f6d862a60eb3d812f5e9f951f8bf075e78f41e34bcee1b6acc0f5a10040e2c33edc6755527e492120c9fe
diff --git a/sci-electronics/sigrok-cli/metadata.xml b/sci-electronics/sigrok-cli/metadata.xml
new file mode 100644
index 000000000000..9ec6e5e675dc
--- /dev/null
+++ b/sci-electronics/sigrok-cli/metadata.xml
@@ -0,0 +1,8 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>dev-embedded</herd>
+<use>
+ <flag name='decode'>Enable decoding of protocols while capturing</flag>
+</use>
+</pkgmetadata>
diff --git a/sci-electronics/sigrok-cli/sigrok-cli-0.5.0.ebuild b/sci-electronics/sigrok-cli/sigrok-cli-0.5.0.ebuild
new file mode 100644
index 000000000000..3c7a347167ed
--- /dev/null
+++ b/sci-electronics/sigrok-cli/sigrok-cli-0.5.0.ebuild
@@ -0,0 +1,46 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+PYTHON_COMPAT=( python3_{3,4} )
+inherit eutils python-single-r1
+
+if [[ ${PV} == "9999" ]]; then
+ EGIT_REPO_URI="git://sigrok.org/${PN}"
+ inherit git-2 autotools
+else
+ SRC_URI="http://sigrok.org/download/source/${PN}/${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+fi
+
+DESCRIPTION="Command-line client for the sigrok logic analyzer software"
+HOMEPAGE="http://sigrok.org/wiki/Sigrok-cli"
+
+LICENSE="GPL-3"
+SLOT="0"
+IUSE="+decode"
+REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
+
+RDEPEND=">=dev-libs/glib-2.28.0
+ >=sci-libs/libsigrok-0.3.0
+ decode? (
+ >=sci-libs/libsigrokdecode-0.3.0
+ ${PYTHON_DEPS}
+ )"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+src_prepare() {
+ [[ ${PV} == "9999" ]] && eautoreconf
+
+ # This is fixed after the 0.5.0 release.
+ sed -i \
+ -e '/WITH_SRD=$enableval/s:=$enableval:=$withval:' \
+ configure || die
+}
+
+src_configure() {
+ econf $(use_with decode libsigrokdecode)
+}
diff --git a/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild b/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild
new file mode 100644
index 000000000000..3c7a347167ed
--- /dev/null
+++ b/sci-electronics/sigrok-cli/sigrok-cli-9999.ebuild
@@ -0,0 +1,46 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+PYTHON_COMPAT=( python3_{3,4} )
+inherit eutils python-single-r1
+
+if [[ ${PV} == "9999" ]]; then
+ EGIT_REPO_URI="git://sigrok.org/${PN}"
+ inherit git-2 autotools
+else
+ SRC_URI="http://sigrok.org/download/source/${PN}/${P}.tar.gz"
+ KEYWORDS="~amd64 ~x86"
+fi
+
+DESCRIPTION="Command-line client for the sigrok logic analyzer software"
+HOMEPAGE="http://sigrok.org/wiki/Sigrok-cli"
+
+LICENSE="GPL-3"
+SLOT="0"
+IUSE="+decode"
+REQUIRED_USE="decode? ( ${PYTHON_REQUIRED_USE} )"
+
+RDEPEND=">=dev-libs/glib-2.28.0
+ >=sci-libs/libsigrok-0.3.0
+ decode? (
+ >=sci-libs/libsigrokdecode-0.3.0
+ ${PYTHON_DEPS}
+ )"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+src_prepare() {
+ [[ ${PV} == "9999" ]] && eautoreconf
+
+ # This is fixed after the 0.5.0 release.
+ sed -i \
+ -e '/WITH_SRD=$enableval/s:=$enableval:=$withval:' \
+ configure || die
+}
+
+src_configure() {
+ econf $(use_with decode libsigrokdecode)
+}
diff --git a/sci-electronics/spice/Manifest b/sci-electronics/spice/Manifest
new file mode 100644
index 000000000000..366305c0328b
--- /dev/null
+++ b/sci-electronics/spice/Manifest
@@ -0,0 +1 @@
+DIST spice3f5sfix.tar.gz 1377263 SHA256 8df74cc3d12f0e2c32c8455008ae4bf837e736edec9cedbc68c86b72e20abf5d
diff --git a/sci-electronics/spice/files/spice-3.5.5-gcc-4.1.patch b/sci-electronics/spice/files/spice-3.5.5-gcc-4.1.patch
new file mode 100644
index 000000000000..aea002d372c9
--- /dev/null
+++ b/sci-electronics/spice/files/spice-3.5.5-gcc-4.1.patch
@@ -0,0 +1,69 @@
+diff -Nadurp spice3f5sfix.orig/src/lib/fte/graf.c spice3f5sfix/src/lib/fte/graf.c
+--- spice3f5sfix.orig/src/lib/fte/graf.c 1999-06-11 14:38:56.000000000 +0200
++++ spice3f5sfix/src/lib/fte/graf.c 2006-05-21 21:49:52.000000000 +0200
+@@ -52,6 +52,9 @@ double *readtics();
+ #define XFACTOR 2 /* How much to expand the X scale during iplot. */
+ #define YFACTOR 1.5 /* How much to expand the Y scale during iplot. */
+
++static drawlegend();
++static gr_resize_internal();
++
+ /*
+ * Start of a new graph.
+ * Fill in the data that gets displayed.
+diff -Nadurp spice3f5sfix.orig/src/lib/fte/grid.c spice3f5sfix/src/lib/fte/grid.c
+--- spice3f5sfix.orig/src/lib/fte/grid.c 1999-05-30 09:14:12.000000000 +0200
++++ spice3f5sfix/src/lib/fte/grid.c 2006-05-21 21:49:52.000000000 +0200
+@@ -34,6 +34,9 @@ typedef enum { x_axis, y_axis } Axis;
+ ie, can get rid of it */
+ static bool scaleunits = true;
+
++static drawlingrid();
++static drawloggrid();
++
+ void
+ gr_fixgrid(graph, xdelta, ydelta, xtype, ytype)
+ GRAPH *graph;
+diff -Nadurp spice3f5sfix.orig/src/lib/fte/x11.c spice3f5sfix/src/lib/fte/x11.c
+--- spice3f5sfix.orig/src/lib/fte/x11.c 1999-06-19 14:09:37.000000000 +0200
++++ spice3f5sfix/src/lib/fte/x11.c 2006-05-21 21:50:35.000000000 +0200
+@@ -81,6 +81,10 @@ static GRAPH *lasthardcopy; /* graph use
+ static int X11_Open = 0;
+ static int numdispplanes;
+
++static initlinestyles();
++static initcolors();
++static X_ScreentoData();
++
+ X11_Init()
+ {
+
+diff -Nadurp spice3f5sfix.orig/src/lib/hlp/readhelp.c spice3f5sfix/src/lib/hlp/readhelp.c
+--- spice3f5sfix.orig/src/lib/hlp/readhelp.c 1993-06-17 23:32:43.000000000 +0200
++++ spice3f5sfix/src/lib/hlp/readhelp.c 2006-05-21 21:49:52.000000000 +0200
+@@ -41,8 +41,8 @@ Author: 1986 Wayne A. Christopher, U. C.
+
+ static char *getsubject();
+ static toplink *getsubtoplink();
+-extern void sortlist(), tlfree();
+-extern int sortcmp();
++static void sortlist(), tlfree();
++static int sortcmp();
+
+ static topic *alltopics = NULL;
+
+diff -Nadurp spice3f5sfix.orig/src/lib/mfb/mfbcaps.c spice3f5sfix/src/lib/mfb/mfbcaps.c
+--- spice3f5sfix.orig/src/lib/mfb/mfbcaps.c 1993-06-17 23:34:25.000000000 +0200
++++ spice3f5sfix/src/lib/mfb/mfbcaps.c 2006-05-21 21:49:52.000000000 +0200
+@@ -43,9 +43,9 @@ static int hopcount; /* detect inf
+
+ FILE *POpen();
+ char *strcpy();
+-char *MFBSkip();
++static char *MFBSkip();
+ char *MFBGetStr();
+-char *MFBCapDecod();
++static char *MFBCapDecod();
+ int MFBGetNum();
+ int MFBGetFlag();
+ int MFBGetEnt();
diff --git a/sci-electronics/spice/metadata.xml b/sci-electronics/spice/metadata.xml
new file mode 100644
index 000000000000..90ad22c07fd3
--- /dev/null
+++ b/sci-electronics/spice/metadata.xml
@@ -0,0 +1,14 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ SPICE is a general-purpose circuit simulation program for nonlinear dc,
+ nonlinear transient, and linear ac analyses. Circuits may contain resistors,
+ capacitors, inductors, mutual inductors, independent voltage and current
+ sources, four types of dependent sources, lossless and lossy transmission
+ lines (two separate implementations), switches, uniform distributed RC lines,
+ and the five most common semiconductor devices: diodes, BJTs, JFETs, MESFETs,
+ and MOSFETs.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/spice/spice-3.5.5-r1.ebuild b/sci-electronics/spice/spice-3.5.5-r1.ebuild
new file mode 100644
index 000000000000..441f8752cfa0
--- /dev/null
+++ b/sci-electronics/spice/spice-3.5.5-r1.ebuild
@@ -0,0 +1,69 @@
+# Copyright 1999-2011 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+inherit eutils flag-o-matic multilib
+
+IUSE=""
+
+MY_P="spice3f5sfix"
+DESCRIPTION="general-purpose circuit simulation program"
+HOMEPAGE="http://bwrc.eecs.berkeley.edu/Classes/IcBook/SPICE/"
+SRC_URI="http://www.ibiblio.org/pub/Linux/apps/circuits/${MY_P}.tar.gz"
+
+LICENSE="BSD"
+SLOT="0"
+KEYWORDS="amd64 ~ppc x86"
+
+RDEPEND="sys-libs/ncurses
+ x11-libs/libXaw
+ >=app-misc/editor-wrapper-3"
+
+DEPEND="${RDEPEND}
+ x11-proto/xproto"
+
+S=${WORKDIR}/${MY_P}
+
+src_unpack() {
+ # spice accepts -O1 at most
+ replace-flags -O* -O1
+
+ unpack ${A}
+ cd "${S}"
+ # Avoid re-creating WORKDIR due to stupid mtime
+ touch ..
+
+ sed -i -e "s:termcap:ncurses:g" \
+ -e "s:joe:/usr/libexec/editor:g" \
+ -e "s:-O2 -s:${CFLAGS}:g" \
+ -e "s:-lncurses -lm -s:-lncurses -lm ${LDFLAGS}:" \
+ -e "s:SPICE_DIR)/lib:SPICE_DIR)/$(get_libdir)/spice:g" \
+ -e "s:/usr/local/spice:/usr:g" \
+ -e "s:/X11R6::" \
+ conf/linux || die
+ sed -i -e "s:head -1:head -n 1:" util/build || die
+ epatch "${FILESDIR}"/${P}-gcc-4.1.patch
+
+ # fix possible buffer overflow (bug #339539)
+ sed -i -e "s:fgets(buf, BSIZE_SP:fgets(buf, sizeof(buf):g" \
+ src/lib/fte/misccoms.c || die
+}
+
+src_compile() {
+ ./util/build linux || die "build failed"
+ obj/bin/makeidx lib/helpdir/spice.txt || die "makeidx failed"
+}
+
+src_install() {
+ # install binaries
+ dobin obj/bin/{spice3,nutmeg,sconvert,multidec,proc2mod} || die "failed to copy binaries"
+ newbin obj/bin/help spice.help || die
+ dosym /usr/bin/spice3 /usr/bin/spice || die
+ # install runtime stuff
+ rm -f lib/make*
+ dodir /usr/$(get_libdir)/spice || die
+ cp -R lib/* "${D}"/usr/$(get_libdir)/spice/ || die "failed to copy libraries"
+ # install docs
+ doman man/man1/*.1 || die
+ dodoc readme readme.Linux notes/spice2 || die
+}
diff --git a/sci-electronics/splat/Manifest b/sci-electronics/splat/Manifest
new file mode 100644
index 000000000000..6bde56203dfb
--- /dev/null
+++ b/sci-electronics/splat/Manifest
@@ -0,0 +1,2 @@
+DIST splat-1.4.1.tar.bz2 359165 SHA256 28b241fd393a3d14283712e0355f34d5c9faaf957c65ab03c0c979ce2c61b118 SHA512 87a9bce186579d218fc247119d96ed45c776b7782f1156d55e459617c7181ba67a0c5d7169d114c0dd0c4093d19c72080552c94b9b38fa82e53c487b90a8ea78 WHIRLPOOL 1b7bd4b52de9dc571cc9af7059259a744a8ce93f5f4e6eedb296dbd9dc2e960162d0611d0afd69755568510c83d0c9098d78b65ef82ee67b157751322aacfe05
+DIST splat-1.4.2.tar.bz2 356823 SHA256 39b0b314e2e927bdf00d1eda4b9865efd128ba850305f987aec049c4fd58a29c SHA512 223b6184b1df35052709ba3b0e8679b9f6a2933c98ac433b0f07cd93b07213f55399a6eeb8e955a86fb59d13e09d891fc085ac17594f561268da239c30e885bb WHIRLPOOL 41baff083a050d1f360af56c6262dbb1f00f8873e0d2c0d3c8e9209e6b9bbbb0fab9009670cd56b976c4726f7635bcf39bb4299f480f17db5520e80b713996c8
diff --git a/sci-electronics/splat/files/splat-1.2.2-gcc43.patch b/sci-electronics/splat/files/splat-1.2.2-gcc43.patch
new file mode 100644
index 000000000000..11e65e77f14a
--- /dev/null
+++ b/sci-electronics/splat/files/splat-1.2.2-gcc43.patch
@@ -0,0 +1,10 @@
+--- splat-1.2.1.orig/utils/fontdata.c
++++ splat-1.2.1/utils/fontdata.c
+@@ -32,6 +32,7 @@
+ #include <string.h>
+ #include <stdlib.h>
+ #include <zlib.h>
++#include <stdlib.h>
+
+ int main(argc,argv)
+ int argc;
diff --git a/sci-electronics/splat/metadata.xml b/sci-electronics/splat/metadata.xml
new file mode 100644
index 000000000000..e8f8307a22af
--- /dev/null
+++ b/sci-electronics/splat/metadata.xml
@@ -0,0 +1,16 @@
+<?xml version='1.0' encoding='UTF-8'?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ SPLAT! is an RF Signal Propagation, Loss, And Terrain analysis tool for the
+ spectrum between 20 MHz and 20 GHz. Applications of SPLAT! include site
+ engineering, wireless network design, amateur radio communications, frequency
+ coordination, communication system design, and terrestrial television and radio
+ broadcasting.
+ </longdescription>
+ <use>
+ <flag name = 'hires'>Builds additional high resolution application
+ 'rfsplat-hd'. </flag>
+ </use>
+</pkgmetadata>
diff --git a/sci-electronics/splat/splat-1.4.1-r1.ebuild b/sci-electronics/splat/splat-1.4.1-r1.ebuild
new file mode 100644
index 000000000000..c784bb70c546
--- /dev/null
+++ b/sci-electronics/splat/splat-1.4.1-r1.ebuild
@@ -0,0 +1,92 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+inherit eutils toolchain-funcs
+
+DESCRIPTION="RF Signal Propagation, Loss, And Terrain analysis tool for the spectrum between 20 MHz and 20 GHz"
+HOMEPAGE="http://www.qsl.net/kd2bd/splat.html"
+SRC_URI="http://www.qsl.net/kd2bd/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="doc hires linguas_es"
+
+DEPEND="sys-libs/zlib
+ app-arch/bzip2"
+
+RDEPEND="${DEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}/${PN}-1.2.2-gcc43.patch"
+}
+
+src_configure() {
+ # fake resulting file from interactive configuration script
+ # using default resolution
+ cat <<- EOF > "${S}/splat.h"
+ /* Parameters for 3 arc-second standard resolution mode of operation */
+ #define MAXPAGES 9
+ #define HD_MODE 0
+ EOF
+ if use hires; then
+ # fake resulting file from interactive configuration script
+ # using default resolution
+ cat <<- EOF > "${S}/hires.h"
+ /* Parameters for 3 arc-second hires resolution mode of operation */
+ #define MAXPAGES 9
+ #define HD_MODE 1
+ EOF
+ fi
+}
+
+src_compile() {
+
+ local CC=$(tc-getCC) CXX=$(tc-getCXX)
+
+ ${CXX} -Wall ${CXXFLAGS} ${LDFLAGS} itwom3.0.cpp splat.cpp -o rfsplat -lm -lbz2 || die
+ if use hires; then
+ cp "${S}/hires.h" "${S}/splat.h"
+ ${CXX} -Wall ${CXXFLAGS} ${LDFLAGS} itwom3.0.cpp splat.cpp -o rfsplat-hd -lm -lbz2 || die
+ fi
+
+ cd utils
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} citydecoder.c -o citydecoder
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} usgs2sdf.c -o usgs2sdf
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} srtm2sdf.c -o srtm2sdf -lbz2
+ #${CC} -Wall ${CFLAGS} ${LDFLAGS} fontdata.c -o fontdata -lz
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} bearing.c -o bearing -lm
+}
+
+src_install() {
+ local SPLAT_LANG="english"
+ use linguas_es && SPLAT_LANG="spanish"
+ # splat binary
+ dobin rfsplat
+ if use hires; then
+ dobin rfsplat-hd
+ fi
+
+ # utilities
+ dobin utils/{citydecoder,usgs2sdf,srtm2sdf,postdownload,bearing}
+ newman docs/${SPLAT_LANG}/man/splat.man rfsplat.1
+
+ dodoc CHANGES README utils/fips.txt
+ newdoc utils/README README.UTILS
+
+ if use doc; then
+ dodoc docs/${SPLAT_LANG}/{pdf/splat.pdf,postscript/splat.ps}
+ fi
+ #sample data
+ docinto sample_data
+ dodoc sample_data/*
+}
+
+pkg_postinst() {
+ elog "The original SPLAT! command got renamed to 'rfsplat' to avoid"
+ elog "filename collission with app-portage/splat."
+ elog ""
+ elog "Be aware that it is still referenced as 'splat' in the documentation."
+}
diff --git a/sci-electronics/splat/splat-1.4.2.ebuild b/sci-electronics/splat/splat-1.4.2.ebuild
new file mode 100644
index 000000000000..e302b4022a43
--- /dev/null
+++ b/sci-electronics/splat/splat-1.4.2.ebuild
@@ -0,0 +1,92 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+inherit eutils toolchain-funcs
+
+DESCRIPTION="RF Signal Propagation, Loss, And Terrain analysis tool for the spectrum between 20 MHz and 20 GHz"
+HOMEPAGE="http://www.qsl.net/kd2bd/splat.html"
+SRC_URI="http://www.qsl.net/kd2bd/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE="doc hires linguas_es"
+
+DEPEND="sys-libs/zlib
+ app-arch/bzip2"
+
+RDEPEND="${DEPEND}"
+
+src_prepare() {
+ epatch "${FILESDIR}/${PN}-1.2.2-gcc43.patch"
+}
+
+src_configure() {
+ # fake resulting file from interactive configuration script
+ # using default resolution
+ cat <<- EOF > "${S}/splat.h"
+ /* Parameters for 3 arc-second standard resolution mode of operation */
+ #define MAXPAGES 9
+ #define HD_MODE 0
+ EOF
+ if use hires; then
+ # fake resulting file from interactive configuration script
+ # using default resolution
+ cat <<- EOF > "${S}/hires.h"
+ /* Parameters for 3 arc-second hires resolution mode of operation */
+ #define MAXPAGES 9
+ #define HD_MODE 1
+ EOF
+ fi
+}
+
+src_compile() {
+
+ local CC=$(tc-getCC) CXX=$(tc-getCXX)
+
+ ${CXX} -Wall ${CXXFLAGS} ${LDFLAGS} itwom3.0.cpp splat.cpp -o rfsplat -lm -lbz2 || die
+ if use hires; then
+ cp "${S}/hires.h" "${S}/splat.h"
+ ${CXX} -Wall ${CXXFLAGS} ${LDFLAGS} itwom3.0.cpp splat.cpp -o rfsplat-hd -lm -lbz2 || die
+ fi
+
+ cd utils
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} citydecoder.c -o citydecoder
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} usgs2sdf.c -o usgs2sdf
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} srtm2sdf.c -o srtm2sdf -lbz2
+ #${CC} -Wall ${CFLAGS} ${LDFLAGS} fontdata.c -o fontdata -lz
+ ${CC} -Wall ${CFLAGS} ${LDFLAGS} bearing.c -o bearing -lm
+}
+
+src_install() {
+ local SPLAT_LANG="english"
+ use linguas_es && SPLAT_LANG="spanish"
+ # splat binary
+ dobin rfsplat
+ if use hires; then
+ dobin rfsplat-hd
+ fi
+
+ # utilities
+ dobin utils/{citydecoder,usgs2sdf,srtm2sdf,postdownload,bearing}
+ newman docs/${SPLAT_LANG}/man/splat.man rfsplat.1
+
+ dodoc CHANGES README utils/fips.txt
+ newdoc utils/README README.UTILS
+
+ if use doc; then
+ dodoc docs/${SPLAT_LANG}/{pdf/splat.pdf,postscript/splat.ps}
+ fi
+ #sample data
+ docinto sample_data
+ dodoc sample_data/*
+}
+
+pkg_postinst() {
+ elog "The original SPLAT! command got renamed to 'rfsplat' to avoid"
+ elog "filename collission with app-portage/splat."
+ elog ""
+ elog "Be aware that it is still referenced as 'splat' in the documentation."
+}
diff --git a/sci-electronics/systemc/Manifest b/sci-electronics/systemc/Manifest
new file mode 100644
index 000000000000..fecaf6143f90
--- /dev/null
+++ b/sci-electronics/systemc/Manifest
@@ -0,0 +1,3 @@
+DIST systemc-2.2.0.tgz 2050634 SHA256 fd7db3ac2b547bf54c94c0310f15f079ed59ffa318ed5b0043a65410960859e7 SHA512 221e411d8037bd75ce4c5ced858351dd7e24554cdf541ece35f087fae7c9905315be4ebde5210f365dba9594167affe37f267ccf10b01ddb82fa5c980b2affc7 WHIRLPOOL 85cce5a92f7c912a11bb7bdd5817f410b2ed11d85ff9d6d8c82ba42fc436bc2ad2802d7cabc2f0b8dd05800f69cc92fdd7166afbd7329cfccc709fcff3cecc02
+DIST systemc-2.3.0.tgz 7209233 SHA256 20e52b1f42ea18dd0dbeab354161fb70a7dac87c294e00499f63514a12ab8b2a SHA512 38a349fd16a76cc7b27f54d096482ed7d253b25d25c5304806f7cc72b1bc8f32f8fd627ab023b23f8491023913a3172c11351fe5b2c8b7b9d80fb60fe687ab22 WHIRLPOOL 87c130a563fec5e36f208776e4b005851562aec73cf859c9151fdae6f1f16dca51cbdc359f6268b2a25fa7e48e2a9bdbd58b4d2e6107cc22e60fa23cac43810b
+DIST systemc-2.3.1.tgz 7291190 SHA256 7ce0f68fd4759e746a9808936b54e62d498f5b583e83fc47758ca86917b4f800 SHA512 e150f90ceb2fcab099956afb6a119a47d7dcac82ba100bb52734b12acff47fdb1210168cfb97d89701a40c561befb5ea9bec23bc9e66a3bd908409c14092776e WHIRLPOOL 992d29e6a4adc2da3c1e5ea5421c85b22c99b857a3640154f02622d9d6260db4c02846aa4326aa5bce1c165d01a40fc0963fb38273cab3a4b9cd3d2877ea0aaa
diff --git a/sci-electronics/systemc/files/systemc-2.3.0-config.patch b/sci-electronics/systemc/files/systemc-2.3.0-config.patch
new file mode 100644
index 000000000000..581e625c6113
--- /dev/null
+++ b/sci-electronics/systemc/files/systemc-2.3.0-config.patch
@@ -0,0 +1,21 @@
+# drop compiler check to enable use of CXX
+--- configure.in.old 2013-09-15 09:38:54.000000000 +0200
++++ configure.in 2013-09-15 09:40:31.000000000 +0200
+@@ -134,15 +134,8 @@
+ AC_MSG_ERROR("sorry...architecture not supported")
+ ;;
+ esac
+- case "$CXX_COMP" in
+- c++ | g++)
+- EXTRA_CXXFLAGS="${EXTRA_CXXFLAGS} -m${CPU_ARCH}"
+- EXTRA_ASFLAGS="-m${CPU_ARCH}"
+- ;;
+- *)
+- AC_MSG_ERROR("sorry...compiler not supported")
+- ;;
+- esac
++ EXTRA_CXXFLAGS="${EXTRA_CXXFLAGS} -m${CPU_ARCH}"
++ EXTRA_ASFLAGS="-m${CPU_ARCH}"
+ ;;
+ *freebsd*)
+ case "$target_cpu" in
diff --git a/sci-electronics/systemc/metadata.xml b/sci-electronics/systemc/metadata.xml
new file mode 100644
index 000000000000..b2e59d373fab
--- /dev/null
+++ b/sci-electronics/systemc/metadata.xml
@@ -0,0 +1,13 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ SystemC provides hardware-oriented constructs within the context of C++
+ as a class library implemented in standard C++. Its use spans design and
+ verification from concept to implementation in hardware and software.
+ SystemC provides an interoperable modeling platform which enables the
+ development and exchange of very fast system-level C++ models. It also
+ provides a stable platform for development of system-level tools.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/systemc/systemc-2.2.0-r2.ebuild b/sci-electronics/systemc/systemc-2.2.0-r2.ebuild
new file mode 100644
index 000000000000..61e52e80f9b1
--- /dev/null
+++ b/sci-electronics/systemc/systemc-2.2.0-r2.ebuild
@@ -0,0 +1,67 @@
+# Copyright 1999-2012 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit versionator multilib toolchain-funcs
+
+DESCRIPTION="A C++ based modeling platform for VLSI and system-level co-design"
+HOMEPAGE="http://www.systemc.org/"
+SRC_URI="${P}.tgz"
+
+SLOT="0"
+LICENSE="SOPLA-2.3"
+IUSE=""
+KEYWORDS="~amd64 ~x86"
+
+RESTRICT="fetch test"
+
+pkg_nofetch() {
+ elog "${PN} developers require end-users to accept their license agreement"
+ elog "by registering on their Web site (${HOMEPAGE})."
+ elog "Please download ${A} manually and place it in ${DISTDIR}."
+}
+
+src_prepare() {
+ sed -i -e "s:lib-\$(TARGET_ARCH):$(get_libdir):g" $(find . -name Makefile.in) || die "Patching Makefile.in failed"
+
+ sed -i -e "s:OPT_CXXFLAGS=\"-O3\":OPT_CXXFLAGS=\"${CXXFLAGS}\":g" configure || die "Patching configure failed"
+
+ sed -i -e '/#include "sysc\/utils\/sc_report.h"/a \
+#include <cstdlib> \
+#include <cstring>' src/sysc/utils/sc_utils_ids.cpp || die "Patching failed"
+
+ for sfile in src/sysc/qt/md/*.s ; do
+ sed -i -e '$a \
+#if defined(__linux__) && defined(__ELF__) \
+.section .note.GNU-stack,"",%progbits \
+#endif' "${sfile}" || die "Patching ${sfile} failed"
+ done
+}
+
+src_configure() {
+ econf --disable-dependency-tracking CXX=$(tc-getCXX)
+}
+
+src_compile() {
+ cd src
+ default
+}
+
+src_install() {
+ dodoc AUTHORS ChangeLog INSTALL NEWS README RELEASENOTES
+ doins -r docs
+ cd src
+ default
+}
+
+pkg_postinst() {
+ elog "If you want to run the examples, you need to :"
+ elog " tar xvfz ${PORTAGE_ACTUAL_DISTDIR}/${A}"
+ elog " cd ${P}"
+ elog " find examples -name 'Makefile.*' -exec sed -i -e 's/-lm/-lm -lpthread/' '{}' \;"
+ elog " ./configure"
+ elog " cd examples"
+ elog " make check"
+}
diff --git a/sci-electronics/systemc/systemc-2.3.0.ebuild b/sci-electronics/systemc/systemc-2.3.0.ebuild
new file mode 100644
index 000000000000..ef729877cef0
--- /dev/null
+++ b/sci-electronics/systemc/systemc-2.3.0.ebuild
@@ -0,0 +1,76 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit eutils multilib toolchain-funcs autotools-utils
+
+DESCRIPTION="A C++ based modeling platform for VLSI and system-level co-design"
+HOMEPAGE="http://www.systemc.org/"
+SRC_URI="${P}.tgz"
+
+SLOT="0"
+LICENSE="SOPLA-3.0"
+IUSE="doc static-libs"
+KEYWORDS="~amd64 ~x86"
+
+RESTRICT="fetch test"
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+pkg_nofetch() {
+ elog "${PN} developers require end-users to accept their license agreement"
+ elog "by registering on their Web site (${HOMEPAGE})."
+ elog "Please download ${A} manually and place it in ${DISTDIR}."
+}
+
+src_prepare() {
+ # drop compiler check to enable use of CXX
+ epatch "${FILESDIR}"/${P}-config.patch
+
+ sed -i -e "s:OPT_CXXFLAGS=\"-O3\":OPT_CXXFLAGS=\"\":g" configure.in || die "Patching configure.in failed"
+
+ sed -i -e "s:lib-\$(TARGET_ARCH):$(get_libdir):g" $(find . -name Makefile.am) || die "Patching Makefile.am failed"
+
+ # respect DESTDIR
+ sed -i -e "s:\$(prefix):\$(DESTDIR)\$(prefix):g" $(find . -name Makefile.am) || die "Patching Makefile.am failed"
+
+ eautoreconf
+
+ sed -i -e "s:\$(DESTDIR)\$(libdir):\$(libdir):g" src/sysc/Makefile.in || die
+
+ for sfile in src/sysc/qt/md/*.s ; do
+ sed -i -e '$a \
+#if defined(__linux__) && defined(__ELF__) \
+.section .note.GNU-stack,"",%progbits \
+#endif' "${sfile}" || die "Patching ${sfile} failed"
+ done
+}
+
+src_configure() {
+ econf $(use_enable static-libs static) CXX=$(tc-getCXX)
+}
+
+src_compile() {
+ cd src
+ default
+}
+
+src_install() {
+ dodoc AUTHORS ChangeLog INSTALL NEWS README RELEASENOTES
+ rm docs/License.pdf || die
+ use doc && dodoc -r docs/*
+ cd src
+ autotools-utils_src_install
+}
+
+pkg_postinst() {
+ elog "If you want to run the examples, you need to :"
+ elog " tar xvfz ${PORTAGE_ACTUAL_DISTDIR}/${A}"
+ elog " cd ${P}"
+ elog " find examples -name 'Makefile.*' -exec sed -i -e 's/-lm/-lm -lpthread/' '{}' \;"
+ elog " ./configure"
+ elog " cd examples"
+ elog " make check"
+}
diff --git a/sci-electronics/systemc/systemc-2.3.1.ebuild b/sci-electronics/systemc/systemc-2.3.1.ebuild
new file mode 100644
index 000000000000..9638dfc0b732
--- /dev/null
+++ b/sci-electronics/systemc/systemc-2.3.1.ebuild
@@ -0,0 +1,59 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit eutils toolchain-funcs autotools-utils
+
+DESCRIPTION="A C++ based modeling platform for VLSI and system-level co-design"
+HOMEPAGE="http://www.systemc.org/"
+SRC_URI="${P}.tgz"
+
+SLOT="0"
+LICENSE="SOPLA-3.0"
+IUSE="doc static-libs"
+KEYWORDS="~amd64 ~x86"
+
+RESTRICT="fetch test"
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+pkg_nofetch() {
+ elog "${PN} developers require end-users to accept their license agreement"
+ elog "by registering on their Web site (${HOMEPAGE})."
+ elog "Please download ${A} manually and place it in ${DISTDIR}."
+}
+
+src_prepare() {
+ for sfile in src/sysc/qt/md/*.s ; do
+ sed -i -e '$a \
+#if defined(__linux__) && defined(__ELF__) \
+.section .note.GNU-stack,"",%progbits \
+#endif' "${sfile}" || die "Patching ${sfile} failed"
+ done
+}
+
+src_configure() {
+ econf $(use_enable static-libs static) CXX=$(tc-getCXX)\
+ --with-unix-layout
+}
+
+src_install() {
+ dodoc AUTHORS ChangeLog INSTALL NEWS README RELEASENOTES
+ rm docs/SystemC_Open_Source_License.pdf || die
+ rm docs/Makefile* || die
+ use doc && dodoc -r docs/*
+ cd src
+ autotools-utils_src_install
+}
+
+pkg_postinst() {
+ elog "If you want to run the examples, you need to :"
+ elog " tar xvfz ${PORTAGE_ACTUAL_DISTDIR}/${A}"
+ elog " cd ${P}"
+ elog " find examples -name 'Makefile.*' -exec sed -i -e 's/-lm/-lm -lpthread/' '{}' \;"
+ elog " ./configure"
+ elog " cd examples"
+ elog " make check"
+}
diff --git a/sci-electronics/vbs/Manifest b/sci-electronics/vbs/Manifest
new file mode 100644
index 000000000000..fab6d3a535f3
--- /dev/null
+++ b/sci-electronics/vbs/Manifest
@@ -0,0 +1 @@
+DIST vbs-1.4.0.tar.gz 275974 RMD160 a008225ab72b92f922b2f769bd13beca0b82530c SHA1 fd39003417230d8d4cb12b8ff1e005006b6c8946 SHA256 c29e6d2bb89ef9e08f8b94eadd06801c79bae7fcb401748d8a2ef28d742e80b4
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
new file mode 100644
index 000000000000..20f2aadb7e6e
--- /dev/null
+++ b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.1.patch
@@ -0,0 +1,111 @@
+diff -ur vbs-1.4.0.orig/src/common/bvector.h vbs-1.4.0/src/common/bvector.h
+--- vbs-1.4.0.orig/src/common/bvector.h 2003-01-12 05:40:59.000000000 +0100
++++ vbs-1.4.0/src/common/bvector.h 2007-03-09 22:40:02.000000000 +0100
+@@ -90,9 +90,9 @@
+ size_type _size; // Size of this sub-bit vector.
+
+ // Only bit_vector can create a sub_bit_vector object.
++ public:
+ sub_bit_vector(bit_vector &, const position_type, const position_type);
+ sub_bit_vector(const sub_bit_vector &);
+- public:
+ sub_bit_vector &operator=(const sub_bit_vector &);
+ sub_bit_vector &operator=(const const_sub_bit_vector &);
+ sub_bit_vector &operator=(const bit_vector &);
+@@ -116,8 +116,8 @@
+
+ // Only bit_vector can create a sub_bit_vector object.
+ const_sub_bit_vector(const bit_vector &, const position_type, const position_type);
+- const_sub_bit_vector(const const_sub_bit_vector &);
+ public:
++ const_sub_bit_vector(const const_sub_bit_vector &);
+ size_type size() const
+ { return _size; }
+ ostream_type &info(ostream_type &) const;
+diff -ur vbs-1.4.0.orig/src/common/logic.h vbs-1.4.0/src/common/logic.h
+--- vbs-1.4.0.orig/src/common/logic.h 2002-10-21 11:01:02.000000000 +0200
++++ vbs-1.4.0/src/common/logic.h 2007-03-09 22:40:02.000000000 +0100
+@@ -44,6 +44,10 @@
+ { return (_state == HI) ? true : false; }
+ operator int() const
+ { return _state; }
++
++ operator long int() const
++ { return _state; }
++
+ operator char() const
+ {
+ switch (_state)
+diff -ur vbs-1.4.0.orig/src/common/st_net.h vbs-1.4.0/src/common/st_net.h
+--- vbs-1.4.0.orig/src/common/st_net.h 2002-11-24 03:07:00.000000000 +0100
++++ vbs-1.4.0/src/common/st_net.h 2007-03-09 22:40:02.000000000 +0100
+@@ -133,4 +133,7 @@
+ const st_net &_net;
+ };
+
++void entry_iovars(st_net::io_list &, st_net::arg_list &);
++void exit_iovars(st_net::io_list &, st_net::arg_list &);
++
+ #endif // _ST_NET_H
+diff -ur vbs-1.4.0.orig/src/expr/erdwr.cc vbs-1.4.0/src/expr/erdwr.cc
+--- vbs-1.4.0.orig/src/expr/erdwr.cc 2002-05-28 08:22:46.000000000 +0200
++++ vbs-1.4.0/src/expr/erdwr.cc 2007-03-09 22:40:02.000000000 +0100
+@@ -25,8 +25,9 @@
+ expr_base *
+ read_expr::operator()() const
+ {
+- VBSOBJ_EXPR_TYPE type;
+- _in >> (int &) type;
++ int type_num = 0;
++ _in >> type_num;
++ VBSOBJ_EXPR_TYPE type = VBSOBJ_EXPR_TYPE(type_num);
+ long ln;
+ _in >> ln;
+ expr_base *expr = 0;
+diff -ur vbs-1.4.0.orig/src/Makefile.in vbs-1.4.0/src/Makefile.in
+--- vbs-1.4.0.orig/src/Makefile.in 2003-06-08 00:38:24.000000000 +0200
++++ vbs-1.4.0/src/Makefile.in 2007-03-09 22:40:02.000000000 +0100
+@@ -329,13 +329,15 @@
+ rm -f *.o
+ rm -f *.a
+ rm -f *.so
++ rm -f vbs
+
+ clean-repo:
+ if test -d ptrepository; then rm -rf ptrepository; fi
+ rm -f *.rpo
+
+ install:
+- $(INSTALL) vbs $(bindir)
++ $(INSTALL) -d $(DESTDIR)$(bindir)
++ $(INSTALL) vbs $(DESTDIR)$(bindir)
+
+ tarball:
+ @echo "===== Tar ball ======"
+diff -ur vbs-1.4.0.orig/src/misc/mrdwr.cc vbs-1.4.0/src/misc/mrdwr.cc
+--- vbs-1.4.0.orig/src/misc/mrdwr.cc 2002-05-28 11:42:00.000000000 +0200
++++ vbs-1.4.0/src/misc/mrdwr.cc 2007-03-09 22:40:02.000000000 +0100
+@@ -21,8 +21,9 @@
+ module *
+ read_module::operator()() const
+ {
+- VBSOBJ_MISC_TYPE type;
+- _in >> (int &) type;
++ int type_num = 0;
++ _in >> type_num;
++ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
+ if (type != VBSOBJ_MISC_MODULE)
+ {
+ vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
+@@ -129,8 +130,9 @@
+ port *
+ read_port::operator()() const
+ {
+- VBSOBJ_MISC_TYPE type;
+- _in >> (int &) type;
++ int type_num = 0;
++ _in >> type_num;
++ VBSOBJ_MISC_TYPE type = VBSOBJ_MISC_TYPE(type_num);
+ if (type != VBSOBJ_MISC_PORT)
+ {
+ vbs_err.set_data(vbs_error::SE_VBSOBJ, -1);
diff --git a/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
new file mode 100644
index 000000000000..c0a62e1d0e22
--- /dev/null
+++ b/sci-electronics/vbs/files/vbs-1.4.0-gcc-4.3.patch
@@ -0,0 +1,30 @@
+--- vbs-1.4.0b/src/common/dumpstrm.cc 2009-01-21 02:42:43.000000000 -0400
++++ vbs-1.4.0/src/common/dumpstrm.cc 2009-01-21 02:48:57.000000000 -0400
+@@ -13,6 +13,7 @@
+
+ #include <cstdio> // sprintf
+ #include <iostream>
++#include <cstdlib>
+ #include "dumpstrm.h"
+
+ using std::cerr;
+--- vbs-1.4.0b/src/common/logic.h 2009-01-21 02:42:43.000000000 -0400
++++ vbs-1.4.0/src/common/logic.h 2009-01-21 02:48:05.000000000 -0400
+@@ -18,6 +18,7 @@
+
+ #ifndef _LOGIC_H
+ #define _LOGIC_H
++#include <cstdlib>
+
+ class logic
+ {
+--- vbs-1.4.0b/src/common/bvector.h 2009-01-21 02:42:43.000000000 -0400
++++ vbs-1.4.0/src/common/bvector.h 2009-01-21 02:46:31.000000000 -0400
+@@ -30,6 +30,7 @@
+ #include <string>
+ #include <utility>
+ #include <iostream>
++#include <cstring>
+ #include "common/logic.h"
+
+ class bit_vector
diff --git a/sci-electronics/vbs/metadata.xml b/sci-electronics/vbs/metadata.xml
new file mode 100644
index 000000000000..38fa0bd5549e
--- /dev/null
+++ b/sci-electronics/vbs/metadata.xml
@@ -0,0 +1,10 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ This program is a simple implementation of a Verilog simulator. VBS tries to
+ implement all of the Verilog behavioral constructs that are synthesizable, but
+ still allow complex test vectors for simulation.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/vbs/vbs-1.4.0.ebuild b/sci-electronics/vbs/vbs-1.4.0.ebuild
new file mode 100644
index 000000000000..913aebb2565c
--- /dev/null
+++ b/sci-electronics/vbs/vbs-1.4.0.ebuild
@@ -0,0 +1,42 @@
+# Copyright 1999-2012 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="2"
+
+inherit eutils
+
+HOMEPAGE="http://www.geda.seul.org/tools/vbs/index.html"
+DESCRIPTION="vbs - the Verilog Behavioral Simulator"
+SRC_URI="http://www.geda.seul.org/dist/${P}.tar.gz"
+
+SLOT="0"
+LICENSE="GPL-2"
+IUSE="examples"
+KEYWORDS="~amd64 ppc ~x86"
+
+DEPEND=">=sys-devel/flex-2.3
+ >=sys-devel/bison-1.22"
+RDEPEND=""
+
+S="${WORKDIR}/${P}/src"
+
+src_prepare() {
+ epatch "${FILESDIR}/${P}-gcc-4.1.patch"
+ epatch "${FILESDIR}/${P}-gcc-4.3.patch"
+ sed -i -e "s/strrchr(n,'.')/const_cast<char*>(strrchr(n,'.'))/" common/scp_tab.cc || die "sed failed"
+}
+
+src_compile() {
+ emake -j1 vbs || die "Compilation failed"
+}
+
+src_install() {
+ dobin vbs
+ cd ..
+ dodoc BUGS CHANGELOG* CONTRIBUTORS COPYRIGHT FAQ README vbs.txt
+ if use examples ; then
+ insinto /usr/share/${PF}/examples
+ doins EXAMPLES/*
+ fi
+}
diff --git a/sci-electronics/voacapl/Manifest b/sci-electronics/voacapl/Manifest
new file mode 100644
index 000000000000..de0e5fe65a0d
--- /dev/null
+++ b/sci-electronics/voacapl/Manifest
@@ -0,0 +1,4 @@
+DIST voacapl-0.5.8.tar.gz 1999606 SHA256 f8f93db99e5a6510c8fe0b7ff761ffe643c3f39b44653019ccf4e332e3d68d55 SHA512 08e5d34a51f86c8de287f2176efd8f6b0e23365b258b8b5ddffddf6bd02c2e4c409db2f66010c0a1bb7dc67b0b91e2448753b12d5828d5a1e2b66857cc97cc07 WHIRLPOOL fe05e45a76ffac4b7580d7832e81713e056dcbb16fc0cad082d53d6eaa03782b7652cb1447e2e6eba85b15b08bb689fb03fb0925bed5b6b8a7575575529e0a5c
+DIST voacapl-0.6.4.tar.gz 2047663 SHA256 cdb4f712c852e54742bba00f8b74fc3538570d4cf8f22fed72f08d80bce57f48 SHA512 13e6e950ccfb001932a4742aaebfe2ccb75fd4db9d96d9f8c64edaf2d3126f3f5980d22b489522c413f78f213fdc5670402085f71fce0ecd633a910992308b24 WHIRLPOOL bd63f0b515d26a41c81e1da3b619403798f019b488ee51ee3893e5d1c32e5a3f3f50f2ffeb9dabc77edf71b20fb56a4f5dd7f096c56776392a28f100b699e91e
+DIST voacapl-0.6.5.tar.gz 2050635 SHA256 7dc592f18562b0b27840adf81ea38db213716b1fd52a89e2c87dc39373c82ac8 SHA512 f7886537132841c8c0e43050eec3335782ede5f61c9dd07061ad84d90e1502884fe42b5cb8edba2222aa40d74698c17657c58c80696f4e9bb21b032e9f20776b WHIRLPOOL a7573e1cdff2bfa6c849e59e0bb8b61ca59270dde169435fdc694105bb67122fafd5a973a57c78e1b64eb83b8871f5118f92934955e9a64400dca122cb35f62b
+DIST voacapl-0.6.7.tar.gz 2061884 SHA256 a55afe8d10728573b147dfd6e73dc87a1e81d281c60547f5a800a2220e699278 SHA512 bdd8c420ea1bb352885a0a127db1494b8cbb6d01e78fa47e577314e39b35d8265e1b62e99719edce512200ed84644dd6693e88aea63ab7416b1f24a3b25cdbc8 WHIRLPOOL beb2cecdbd935120bcff03990b633b6692535fbad27a7fcd10856f9d982d7eaaa64ec8dde6c95e039cd73f2a3c491598893720c89a376beb1d3f52c3a5261f91
diff --git a/sci-electronics/voacapl/metadata.xml b/sci-electronics/voacapl/metadata.xml
new file mode 100644
index 000000000000..835c76cab148
--- /dev/null
+++ b/sci-electronics/voacapl/metadata.xml
@@ -0,0 +1,8 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <maintainer>
+ <email>tomjbe@gentoo.org</email>
+ <name>Thomas Beierlein</name>
+ </maintainer>
+</pkgmetadata>
diff --git a/sci-electronics/voacapl/voacapl-0.5.8.ebuild b/sci-electronics/voacapl/voacapl-0.5.8.ebuild
new file mode 100644
index 000000000000..af956602ae47
--- /dev/null
+++ b/sci-electronics/voacapl/voacapl-0.5.8.ebuild
@@ -0,0 +1,22 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit fortran-2
+
+DESCRIPTION="HF propagation prediction tool"
+HOMEPAGE="http://www.qsl.net/hz1jw/voacapl/index.html"
+SRC_URI="http://www.qsl.net/hz1jw/${PN}/downloads/${P}.tar.gz"
+
+LICENSE="all-rights-reserved"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE=""
+
+RESTRICT="mirror bindist"
+
+src_install() {
+ emake DESTDIR="${D}" docdir=/usr/share/doc/${PF} install
+}
diff --git a/sci-electronics/voacapl/voacapl-0.6.4.ebuild b/sci-electronics/voacapl/voacapl-0.6.4.ebuild
new file mode 100644
index 000000000000..460d79242239
--- /dev/null
+++ b/sci-electronics/voacapl/voacapl-0.6.4.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="4"
+
+inherit fortran-2
+
+DESCRIPTION="HF propagation prediction tool"
+HOMEPAGE="http://www.qsl.net/hz1jw/voacapl/index.html"
+SRC_URI="http://www.qsl.net/hz1jw/${PN}/downloads/${P}.tar.gz"
+
+LICENSE="all-rights-reserved"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RESTRICT="mirror bindist"
+
+src_compile() {
+ # bug 513766
+ emake -j1 DESTDIR="${D}"
+}
+
+src_install() {
+ emake DESTDIR="${D}" docdir=/usr/share/doc/${PF} install
+}
diff --git a/sci-electronics/voacapl/voacapl-0.6.5.ebuild b/sci-electronics/voacapl/voacapl-0.6.5.ebuild
new file mode 100644
index 000000000000..626258ea4c04
--- /dev/null
+++ b/sci-electronics/voacapl/voacapl-0.6.5.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit fortran-2
+
+DESCRIPTION="HF propagation prediction tool"
+HOMEPAGE="http://www.qsl.net/hz1jw/voacapl/index.html"
+SRC_URI="http://www.qsl.net/hz1jw/${PN}/downloads/${P}.tar.gz"
+
+LICENSE="all-rights-reserved"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE=""
+
+RESTRICT="mirror bindist"
+
+src_compile() {
+ # bug 513766
+ emake -j1 DESTDIR="${D}"
+}
+
+src_install() {
+ emake DESTDIR="${D}" docdir=/usr/share/doc/${PF} install
+}
diff --git a/sci-electronics/voacapl/voacapl-0.6.7.ebuild b/sci-electronics/voacapl/voacapl-0.6.7.ebuild
new file mode 100644
index 000000000000..e6d5fe63650f
--- /dev/null
+++ b/sci-electronics/voacapl/voacapl-0.6.7.ebuild
@@ -0,0 +1,27 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI="5"
+
+inherit fortran-2
+
+DESCRIPTION="HF propagation prediction tool"
+HOMEPAGE="http://www.qsl.net/hz1jw/voacapl/index.html"
+SRC_URI="http://www.qsl.net/hz1jw/${PN}/downloads/${P}.tar.gz"
+
+LICENSE="all-rights-reserved"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE=""
+
+RESTRICT="mirror bindist"
+
+src_compile() {
+ # bug 513766
+ emake -j1 DESTDIR="${D}"
+}
+
+src_install() {
+ emake DESTDIR="${D}" docdir=/usr/share/doc/${PF} install
+}
diff --git a/sci-electronics/xcircuit/Manifest b/sci-electronics/xcircuit/Manifest
new file mode 100644
index 000000000000..8982955243fd
--- /dev/null
+++ b/sci-electronics/xcircuit/Manifest
@@ -0,0 +1,6 @@
+DIST xcircuit-3.7.48.tgz 1648993 SHA256 4d6e7cb155f41d2643e9d1a07865de49aa31cbd238a951ac5d74e13e4c03457b SHA512 686bd2139a6d2d5011b51ff500ea9ffb4007326734eafc0afeec250cb844d8107a344c4ef90d2ed747d8485e7dc8dca69c7e62fd785fc17d3f3460212a0dcb84 WHIRLPOOL 6f935190c7a95e3d4dac48090efa18418ca9e6e8e345f23a27da35debf0aeae7ca1223e1b2e975cce1619d3f2ff878e72a0cd3bee103624214955397c40739ce
+DIST xcircuit-3.7.49.tgz 1649013 SHA256 a37e6b3baa4fb13f12bb14b27856dd71eda7620f755bb5532f76e747f3b73738 SHA512 930f00c507d422a50cfb9c4e9bbe74f0cb3b8c971ce50aec8b77a45db4e2b46ab45598d4cebfaaeab5e06b2f65a750506af39b4016042ee13409e0ac6141bcfb WHIRLPOOL cee63a6444be6bff5b1f4f33f47da9ded551c958136d105fe954785efdfb61253cd2e68d29f7262c13753a2b1c0dbb3939c1e565aca41f074c6f898889bafe25
+DIST xcircuit-3.7.50.tgz 1649046 SHA256 2dbc1bd4a16b9a2df1ba18dd2010c963231170b4d46844eef7b2fe18020eeb0f SHA512 2407b8d5f71d4fd28aabcbddeba7f2e31451c0516f2aec1c0b956dc8dbb27331fbc266ae0875020bbb73c76c49f4a47dc3ed98f3d5d7949854527f5390598c0a WHIRLPOOL 2ad917cf83346baa5be7a54707199094109057f15005a7f20bbb6c566dcdc6f33851653a74df56925a2f880d7d07a4dea107b44c8de705a41c5d084f400e3c3f
+DIST xcircuit-3.8.39.tgz 1517080 SHA256 2ab1540a4ad84e0df8e4e5ce2f33d58a3d0bb8798ec42e401b3daf7384cfea18 SHA512 d700f98cd3fe22d05deea31b839369238c8886e0e6c5b624ba2f197f2a1427168b7d95fa70c4821d8a9dcd7868ba78b6a5e3e582be9a944809ac996410ae9f21 WHIRLPOOL af88c995ac0daaec37f9bd3e9a61d3e7699562b9b68f8f69920ffed5fd5d71a20a166f81351ddce38a05011d07a0e8859066ae2a748bb06c79e3db0dcbc518b1
+DIST xcircuit-3.8.40.tgz 1517241 SHA256 52f9cd623bac2b9d27cf8671368e66c83c31858378c1e8b850819d92b78c4af9 SHA512 0f2b381a36b3f2b5376f365d4b7be8f144162a096ba03f1b99bf8911f80d7d14459d64d7668e73ca08f786816165f5ad88af7eed4faf8984993b0bd243bd3eb0 WHIRLPOOL 87e10465882d7e2a834f9d243a7022329881b7fbdc7986be4b9e73ccc643ec8beee5f5a3db53737da9cd963c82e894801249af81ec07c9bbec955cba5c60476c
+DIST xcircuit-3.8.77.tgz 1523126 SHA256 1d39401a41ffa66098ef482395539cc546b293ef372a1e1a464947c05abfce26 SHA512 a65ac0962aa4828ce75610cd6fe3bb0e934a9c563ac08cccfaf3e8ba1f1c8bf34c5d339bcce18d170a5a2a840ba9a435421c0826dd778b66efa3847685847fda WHIRLPOOL b5c33a5c01c17e3f1585f31d283a08cbc3492a448252f7f8fd10730f7114a8f21be5781340ea4c95ebfeb263eaff117e64528019df69cc3d3c75151005bc84a8
diff --git a/sci-electronics/xcircuit/metadata.xml b/sci-electronics/xcircuit/metadata.xml
new file mode 100644
index 000000000000..acdbac4ec91a
--- /dev/null
+++ b/sci-electronics/xcircuit/metadata.xml
@@ -0,0 +1,24 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <longdescription>
+ XCircuit is a UNIX/X11 program for drawing publishable-quality electrical
+ circuit schematic diagrams and related figures, and produce circuit netlists
+ through schematic capture. XCircuit regards circuits as inherently
+ hierarchical, and writes both hierarchical PostScript output and hierarchical
+ SPICE netlists. Circuit components are saved in and retrieved from libraries
+ which are fully editable. XCircuit does not separate artistic expression from
+ circuit drawing; it maintains flexiblity in style without compromising the
+ power of schematic capture.
+ </longdescription>
+ <upstream>
+ <maintainer status="active">
+ <email>tim@opencircuitdesign.com</email>
+ <name>Timothy Edwards</name>
+ </maintainer>
+ <changelog>http://www.opencircuitdesign.com/xcircuit/changes.html</changelog>
+ <doc lang="en">http://www.opencircuitdesign.com/xcircuit/reference.html</doc>
+ <bugs-to>tim@opencircuitdesign.com</bugs-to>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/xcircuit/xcircuit-3.7.48.ebuild b/sci-electronics/xcircuit/xcircuit-3.7.48.ebuild
new file mode 100644
index 000000000000..41e183d92bf9
--- /dev/null
+++ b/sci-electronics/xcircuit/xcircuit-3.7.48.ebuild
@@ -0,0 +1,63 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+AUTOTOOLS_AUTORECONF=yes
+
+inherit autotools-utils multilib
+
+DESCRIPTION="Circuit drawing and schematic capture program"
+SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
+HOMEPAGE="http://opencircuitdesign.com/xcircuit"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 ppc x86"
+IUSE=""
+
+DEPEND="
+ app-text/ghostscript-gpl
+ dev-lang/tk:0
+ sys-libs/zlib
+ x11-libs/libX11
+ x11-libs/libXt
+ x11-libs/libXpm
+ x11-libs/libSM
+ x11-libs/libICE"
+RDEPEND=${DEPEND}
+
+RESTRICT="test" #131024
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_prepare() {
+ # automake-1.12
+ sed \
+ -e '/AM_C_PROTOTYPES/d' \
+ -i configure.in || die
+ # automake-1.13
+ mv configure.{in,ac} || die
+ autotools-utils_src_prepare
+}
+
+src_configure() {
+ export loader_run_path="/usr/$(get_libdir)"
+ local myeconfargs=(
+ --disable-dependency-tracking
+ --with-tcl
+ --with-ngspice
+ )
+ autotools-utils_src_configure
+}
+
+src_compile() {
+ autotools-utils_src_compile appdefaultsdir="/usr/share/X11/app-defaults"
+}
+
+src_install () {
+ autotools-utils_src_install \
+ appdefaultsdir="/usr/share/X11/app-defaults" \
+ appmandir="/usr/share/man/man1"
+}
diff --git a/sci-electronics/xcircuit/xcircuit-3.7.49.ebuild b/sci-electronics/xcircuit/xcircuit-3.7.49.ebuild
new file mode 100644
index 000000000000..65a2662bc8d0
--- /dev/null
+++ b/sci-electronics/xcircuit/xcircuit-3.7.49.ebuild
@@ -0,0 +1,63 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+AUTOTOOLS_AUTORECONF=yes
+
+inherit autotools-utils multilib
+
+DESCRIPTION="Circuit drawing and schematic capture program"
+SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
+HOMEPAGE="http://opencircuitdesign.com/xcircuit"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+DEPEND="
+ app-text/ghostscript-gpl
+ dev-lang/tk:0
+ sys-libs/zlib
+ x11-libs/libX11
+ x11-libs/libXt
+ x11-libs/libXpm
+ x11-libs/libSM
+ x11-libs/libICE"
+RDEPEND=${DEPEND}
+
+RESTRICT="test" #131024
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_prepare() {
+ # automake-1.12
+ sed \
+ -e '/AM_C_PROTOTYPES/d' \
+ -i configure.in || die
+ # automake-1.13
+ mv configure.{in,ac} || die
+ autotools-utils_src_prepare
+}
+
+src_configure() {
+ export loader_run_path="/usr/$(get_libdir)"
+ local myeconfargs=(
+ --disable-dependency-tracking
+ --with-tcl
+ --with-ngspice
+ )
+ autotools-utils_src_configure
+}
+
+src_compile() {
+ autotools-utils_src_compile appdefaultsdir="/usr/share/X11/app-defaults"
+}
+
+src_install () {
+ autotools-utils_src_install \
+ appdefaultsdir="/usr/share/X11/app-defaults" \
+ appmandir="/usr/share/man/man1"
+}
diff --git a/sci-electronics/xcircuit/xcircuit-3.7.50.ebuild b/sci-electronics/xcircuit/xcircuit-3.7.50.ebuild
new file mode 100644
index 000000000000..65a2662bc8d0
--- /dev/null
+++ b/sci-electronics/xcircuit/xcircuit-3.7.50.ebuild
@@ -0,0 +1,63 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+AUTOTOOLS_AUTORECONF=yes
+
+inherit autotools-utils multilib
+
+DESCRIPTION="Circuit drawing and schematic capture program"
+SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
+HOMEPAGE="http://opencircuitdesign.com/xcircuit"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+DEPEND="
+ app-text/ghostscript-gpl
+ dev-lang/tk:0
+ sys-libs/zlib
+ x11-libs/libX11
+ x11-libs/libXt
+ x11-libs/libXpm
+ x11-libs/libSM
+ x11-libs/libICE"
+RDEPEND=${DEPEND}
+
+RESTRICT="test" #131024
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_prepare() {
+ # automake-1.12
+ sed \
+ -e '/AM_C_PROTOTYPES/d' \
+ -i configure.in || die
+ # automake-1.13
+ mv configure.{in,ac} || die
+ autotools-utils_src_prepare
+}
+
+src_configure() {
+ export loader_run_path="/usr/$(get_libdir)"
+ local myeconfargs=(
+ --disable-dependency-tracking
+ --with-tcl
+ --with-ngspice
+ )
+ autotools-utils_src_configure
+}
+
+src_compile() {
+ autotools-utils_src_compile appdefaultsdir="/usr/share/X11/app-defaults"
+}
+
+src_install () {
+ autotools-utils_src_install \
+ appdefaultsdir="/usr/share/X11/app-defaults" \
+ appmandir="/usr/share/man/man1"
+}
diff --git a/sci-electronics/xcircuit/xcircuit-3.8.39.ebuild b/sci-electronics/xcircuit/xcircuit-3.8.39.ebuild
new file mode 100644
index 000000000000..65a2662bc8d0
--- /dev/null
+++ b/sci-electronics/xcircuit/xcircuit-3.8.39.ebuild
@@ -0,0 +1,63 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+AUTOTOOLS_AUTORECONF=yes
+
+inherit autotools-utils multilib
+
+DESCRIPTION="Circuit drawing and schematic capture program"
+SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
+HOMEPAGE="http://opencircuitdesign.com/xcircuit"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+DEPEND="
+ app-text/ghostscript-gpl
+ dev-lang/tk:0
+ sys-libs/zlib
+ x11-libs/libX11
+ x11-libs/libXt
+ x11-libs/libXpm
+ x11-libs/libSM
+ x11-libs/libICE"
+RDEPEND=${DEPEND}
+
+RESTRICT="test" #131024
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_prepare() {
+ # automake-1.12
+ sed \
+ -e '/AM_C_PROTOTYPES/d' \
+ -i configure.in || die
+ # automake-1.13
+ mv configure.{in,ac} || die
+ autotools-utils_src_prepare
+}
+
+src_configure() {
+ export loader_run_path="/usr/$(get_libdir)"
+ local myeconfargs=(
+ --disable-dependency-tracking
+ --with-tcl
+ --with-ngspice
+ )
+ autotools-utils_src_configure
+}
+
+src_compile() {
+ autotools-utils_src_compile appdefaultsdir="/usr/share/X11/app-defaults"
+}
+
+src_install () {
+ autotools-utils_src_install \
+ appdefaultsdir="/usr/share/X11/app-defaults" \
+ appmandir="/usr/share/man/man1"
+}
diff --git a/sci-electronics/xcircuit/xcircuit-3.8.40.ebuild b/sci-electronics/xcircuit/xcircuit-3.8.40.ebuild
new file mode 100644
index 000000000000..65a2662bc8d0
--- /dev/null
+++ b/sci-electronics/xcircuit/xcircuit-3.8.40.ebuild
@@ -0,0 +1,63 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+AUTOTOOLS_AUTORECONF=yes
+
+inherit autotools-utils multilib
+
+DESCRIPTION="Circuit drawing and schematic capture program"
+SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
+HOMEPAGE="http://opencircuitdesign.com/xcircuit"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+DEPEND="
+ app-text/ghostscript-gpl
+ dev-lang/tk:0
+ sys-libs/zlib
+ x11-libs/libX11
+ x11-libs/libXt
+ x11-libs/libXpm
+ x11-libs/libSM
+ x11-libs/libICE"
+RDEPEND=${DEPEND}
+
+RESTRICT="test" #131024
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_prepare() {
+ # automake-1.12
+ sed \
+ -e '/AM_C_PROTOTYPES/d' \
+ -i configure.in || die
+ # automake-1.13
+ mv configure.{in,ac} || die
+ autotools-utils_src_prepare
+}
+
+src_configure() {
+ export loader_run_path="/usr/$(get_libdir)"
+ local myeconfargs=(
+ --disable-dependency-tracking
+ --with-tcl
+ --with-ngspice
+ )
+ autotools-utils_src_configure
+}
+
+src_compile() {
+ autotools-utils_src_compile appdefaultsdir="/usr/share/X11/app-defaults"
+}
+
+src_install () {
+ autotools-utils_src_install \
+ appdefaultsdir="/usr/share/X11/app-defaults" \
+ appmandir="/usr/share/man/man1"
+}
diff --git a/sci-electronics/xcircuit/xcircuit-3.8.77.ebuild b/sci-electronics/xcircuit/xcircuit-3.8.77.ebuild
new file mode 100644
index 000000000000..094deda077ac
--- /dev/null
+++ b/sci-electronics/xcircuit/xcircuit-3.8.77.ebuild
@@ -0,0 +1,63 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+AUTOTOOLS_AUTORECONF=yes
+
+inherit autotools-utils multilib
+
+DESCRIPTION="Circuit drawing and schematic capture program"
+SRC_URI="http://opencircuitdesign.com/xcircuit/archive/${P}.tgz"
+HOMEPAGE="http://opencircuitdesign.com/xcircuit"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~ppc ~x86"
+IUSE=""
+
+DEPEND="
+ app-text/ghostscript-gpl
+ dev-lang/tk:0
+ sys-libs/zlib
+ x11-libs/libX11
+ x11-libs/libXt
+ x11-libs/libXpm
+ x11-libs/libSM
+ x11-libs/libICE"
+RDEPEND=${DEPEND}
+
+RESTRICT="test" #131024
+
+AUTOTOOLS_IN_SOURCE_BUILD=1
+
+src_prepare() {
+ # automake-1.12
+ sed \
+ -e '/AM_C_PROTOTYPES/d' \
+ -i configure.in || die
+ # automake-1.13
+ mv configure.{in,ac} || die
+ autotools-utils_src_prepare
+}
+
+src_configure() {
+ export loader_run_path="/usr/$(get_libdir)"
+ local myeconfargs=(
+ --disable-dependency-tracking
+ --with-tcl
+ --with-ngspice
+ )
+ autotools-utils_src_configure
+}
+
+src_compile() {
+ autotools-utils_src_compile appdefaultsdir="/usr/share/X11/app-defaults"
+}
+
+src_install () {
+ autotools-utils_src_install \
+ appdefaultsdir="/usr/share/X11/app-defaults" \
+ appmandir="/usr/share/man/man1"
+}
diff --git a/sci-electronics/xnec2c/Manifest b/sci-electronics/xnec2c/Manifest
new file mode 100644
index 000000000000..4ccf39f8f968
--- /dev/null
+++ b/sci-electronics/xnec2c/Manifest
@@ -0,0 +1,5 @@
+DIST xnec2c-2.8.tar.bz2 919166 SHA256 988d90cea329959d05a203e40110128c3ceee27c30693d0fd585e775db4dfdeb SHA512 2d4c0155d965087e77153d50a665e649f1408434ecb3bc9bc71dfd58efd14fc176dc95851a3cb12de155e754785c8e57b9db0e8679338c70ab22bdf79475fde6 WHIRLPOOL cfc75a628ef897e31b6c1b9e6f148221ff4394c0049fe03fc4145a81f654edab30ac4f8d1c848a3169bab1a131eca2ca00f9c1c5b07f8292f21ed2fdcf8dc292
+DIST xnec2c-3.0.tar.bz2 919854 SHA256 9b59e0cc01b4411e0ce349c0f5f724c8fa09cd1a5063cba8dfbb8c8fc80ee88e SHA512 ed3372811764be1ed8057108e771817c530768fb0379df786f381c22680bdb0e344ca5fbc54aa5a2c67f3718602a82cbb58e54c0f59ed1f9b2976de3389b1930 WHIRLPOOL eabb74a00e25d3995f9de36cbdf66e8a10c9ed51d3d48443939241b2862f6e08da5e79a7f2f2ecd27a44e0cf1ff1af2455e3a01034f2479701fe9c2ecaf95bf8
+DIST xnec2c-3.1.tar.bz2 921052 SHA256 2a06d4b4923f9bd67b1b196203033f83fb4b597b803c31d0776499ca39dcff90 SHA512 f2df88f297623378970d340dd16055902bbf844c8d28e5650f48a72a23574dbe20539441cb9fdd10463bb6275313f9bc34ee0af4b2a016a5b4325f48e12afbbf WHIRLPOOL 8a0a0839865d85a7527231acdbfcbb1a069a1de334cf38e45580f95632d2bc5546addc44478bc4bc3457dc93c6e029133bbd9c0fe9394c03d02f0c5a4944c3e9
+DIST xnec2c-3.2.tar.bz2 921398 SHA256 e55e0bed1e1341162b9c945996bcba7668529cd5624ccbad4af9123b22dd6845 SHA512 a568e23cf0cfaa3b3ddaac96be8cf15e9caf5f123a2d84155f9435c42365b1cae587017d0263c88c6d63df4805d372bbdd4a1bc6ebaf303bd93adc697f5600a2 WHIRLPOOL 8cd8dde0c35828b4a64871cf436d7d41a9b96a359b7ef5eb8f271738cc3215fa34a1097688656dd1302400608e1b48f0f86f8404122696e121318e8bc12ac6b0
+DIST xnec2c-3.3.tar.bz2 921522 SHA256 53914ea4ddf9d349551c2ce52693621e87dde0c8a9df7807fae99d6e02630242 SHA512 19a5b23d9ff985b6ae83127b8808c9a9e0ce8f23f34f73fb23837b42ea0b7c85743fa41905c9552c3066c186982fe5e8fdee020142fdaefe688e0b0dd44bf4a2 WHIRLPOOL 44a6bd0d01a0836286c9ac6c6a3a65e6bc55f8974376d940e8ca62d9667b9f02340f598fe4d3f53b68566b16cd795ae64bdbb490e8211a0e9c14c0079aeeb130
diff --git a/sci-electronics/xnec2c/metadata.xml b/sci-electronics/xnec2c/metadata.xml
new file mode 100644
index 000000000000..df6a496aa5b7
--- /dev/null
+++ b/sci-electronics/xnec2c/metadata.xml
@@ -0,0 +1,35 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+<herd>sci-electronics</herd>
+<herd>proxy-maintainers</herd>
+ <maintainer>
+ <email>tomjbe@gentoo.org</email>
+ <name>Thomas Beierlein</name>
+ </maintainer>
+<upstream>
+ <maintainer>
+ <email>neoklis.kyriazis@gmail.com</email>
+ <name>Neoklis Kyriazis</name>
+ <description>call sign: 5B4AZ</description>
+ </maintainer>
+</upstream>
+ <longdescription>
+ xnec2c is a GTK+ graphical interactive version of nec2c. It incorporates
+ the nec2c core which it uses for reading input files and calculating
+ output data, but it does not need and indeed does not produce an output
+ file by default. Since xnec2c incorporates the nec2c core, it has access
+ to all internal buffers, including structure data, frequency-related
+ data (structure currents, input impedance, gain etc) and radiation
+ pattern data (for the far field and near field). It therefore has the
+ ability to graphically display user-requested data directly, as the
+ frequency loop progresses or after input from the user. Graphs of
+ frequency-related data and the current or charge distribution evolve as
+ the frequency loop progresses, and radiation patterns (far and near
+ field) are sequentially drawn for each frequency step. A new frequency
+ can be entered by the user from spin buttons in the main or radiation
+ pattern windows or by clicking on the graphs of frequency-related data.
+ New output data are then computed and displayed in text and graphical
+ form.
+ </longdescription>
+</pkgmetadata>
diff --git a/sci-electronics/xnec2c/xnec2c-2.8.ebuild b/sci-electronics/xnec2c/xnec2c-2.8.ebuild
new file mode 100644
index 000000000000..a7dc13df5763
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-2.8.ebuild
@@ -0,0 +1,35 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=4
+
+inherit autotools eutils
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="http://www.qsl.net/5b4az/pages/nec2.html"
+SRC_URI="http://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ glib-gettextize --force --copy || die
+ eautoreconf
+}
+
+src_install() {
+ default
+
+ dodoc AUTHORS README doc/*.txt
+ use doc && dohtml -r doc/*.html doc/images
+ insinto /usr/share/doc/${PF}/examples
+ use examples && doins examples/*
+}
diff --git a/sci-electronics/xnec2c/xnec2c-3.0.ebuild b/sci-electronics/xnec2c/xnec2c-3.0.ebuild
new file mode 100644
index 000000000000..54728bfcba2c
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-3.0.ebuild
@@ -0,0 +1,35 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit autotools eutils
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="http://www.qsl.net/5b4az/pages/nec2.html"
+SRC_URI="http://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ glib-gettextize --force --copy || die
+ eautoreconf
+}
+
+src_install() {
+ default
+
+ dodoc AUTHORS README doc/*.txt
+ use doc && dohtml -r doc/*.html doc/images
+ insinto /usr/share/doc/${PF}/examples
+ use examples && doins examples/*
+}
diff --git a/sci-electronics/xnec2c/xnec2c-3.1.ebuild b/sci-electronics/xnec2c/xnec2c-3.1.ebuild
new file mode 100644
index 000000000000..3db15384c600
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-3.1.ebuild
@@ -0,0 +1,35 @@
+# Copyright 1999-2014 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit autotools eutils
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="http://www.qsl.net/5b4az/pages/nec2.html"
+SRC_URI="http://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ glib-gettextize --force --copy || die
+ eautoreconf
+}
+
+src_install() {
+ default
+
+ dodoc AUTHORS README doc/*.txt
+ use doc && dohtml -r doc/*.html doc/images
+ insinto /usr/share/doc/${PF}/examples
+ use examples && doins examples/*
+}
diff --git a/sci-electronics/xnec2c/xnec2c-3.2.ebuild b/sci-electronics/xnec2c/xnec2c-3.2.ebuild
new file mode 100644
index 000000000000..a61e6741d3d6
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-3.2.ebuild
@@ -0,0 +1,35 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit autotools eutils
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="http://www.qsl.net/5b4az/pages/nec2.html"
+SRC_URI="http://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ glib-gettextize --force --copy || die
+ eautoreconf
+}
+
+src_install() {
+ default
+
+ dodoc AUTHORS README doc/*.txt
+ use doc && dohtml -r doc/*.html doc/images
+ insinto /usr/share/doc/${PF}/examples
+ use examples && doins examples/*
+}
diff --git a/sci-electronics/xnec2c/xnec2c-3.3.ebuild b/sci-electronics/xnec2c/xnec2c-3.3.ebuild
new file mode 100644
index 000000000000..a61e6741d3d6
--- /dev/null
+++ b/sci-electronics/xnec2c/xnec2c-3.3.ebuild
@@ -0,0 +1,35 @@
+# Copyright 1999-2015 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit autotools eutils
+
+DESCRIPTION="A GTK+ graphical interactive version of nec2c"
+HOMEPAGE="http://www.qsl.net/5b4az/pages/nec2.html"
+SRC_URI="http://www.qsl.net/5b4az/pkg/nec2/xnec2c/${P}.tar.bz2"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="~amd64 ~x86"
+IUSE="doc examples"
+
+RDEPEND="dev-libs/glib:2
+ x11-libs/gtk+:2"
+DEPEND="${RDEPEND}
+ sys-devel/gettext"
+
+src_prepare() {
+ glib-gettextize --force --copy || die
+ eautoreconf
+}
+
+src_install() {
+ default
+
+ dodoc AUTHORS README doc/*.txt
+ use doc && dohtml -r doc/*.html doc/images
+ insinto /usr/share/doc/${PF}/examples
+ use examples && doins examples/*
+}
diff --git a/sci-electronics/xoscope/Manifest b/sci-electronics/xoscope/Manifest
new file mode 100644
index 000000000000..20e837e9a8a2
--- /dev/null
+++ b/sci-electronics/xoscope/Manifest
@@ -0,0 +1 @@
+DIST xoscope-2.0.tgz 334875 SHA256 a8a3027971dd1bd902861ea8f099ea003a19b6e86f87ae97a4811aeef1deb403 SHA512 8a7506dd40133c1bfaf101102f84a5d81712b4cc28d75002f14d5c5b2e08162813dfb68a3e15e1056545d225d563c66ac8a5a81deede3c93a5bb943b81c8fc70 WHIRLPOOL 4afd660cb05a9a70ce49a5553c4f08d5877f32a85adcf86ada1014d7d90c358817cdb6da7f4b2d08edfb406375848434f679ea976c15ad16bf3ca2b8691a944c
diff --git a/sci-electronics/xoscope/files/xoscope-2.0-comedi_compile.patch b/sci-electronics/xoscope/files/xoscope-2.0-comedi_compile.patch
new file mode 100644
index 000000000000..75d3820dad81
--- /dev/null
+++ b/sci-electronics/xoscope/files/xoscope-2.0-comedi_compile.patch
@@ -0,0 +1,32 @@
+--- xoscope-2.0/comedi.c
++++ xoscope-2.0/comedi.c
+@@ -27,7 +27,7 @@
+ #include <sys/ioctl.h>
+ #include <sys/time.h>
+ #include <sys/poll.h>
+-#include <asm/page.h>
++//#include <asm/page.h>
+ #include <comedilib.h>
+ #include "oscope.h" /* program defaults */
+ #include "func.h"
+@@ -184,7 +184,9 @@
+ * avoid the bug. This is the only reason we need <asm/page.h> in
+ * our include list.
+ */
+- comedi_bufsize = (comedi_bufsize + PAGE_SIZE - 1) & PAGE_MASK;
++ //comedi_bufsize = (comedi_bufsize + PAGE_SIZE - 1) & PAGE_MASK;
++ comedi_bufsize = (comedi_bufsize + sysconf(_SC_PAGE_SIZE) - 1) &
++ (sysconf(_SC_PAGE_SIZE) - 1);
+ ret = comedi_set_buffer_size(comedi_dev, comedi_subdevice, comedi_bufsize);
+ if (ret < 0) {
+ comedi_error = comedi_errno();
+@@ -505,7 +507,7 @@
+ unsigned int chan;
+ int ret;
+
+- ret = comedi_get_cmd_generic_timed(comedi_dev, comedi_subdevice, &cmd, 0);
++ ret = comedi_get_cmd_generic_timed(comedi_dev, comedi_subdevice, &cmd, 0, 1);
+
+ if (ret >= 0) {
+ chan = CR_PACK(4,0,AREF_DIFF);
+
diff --git a/sci-electronics/xoscope/files/xoscope-2.0-implicit_decls.patch b/sci-electronics/xoscope/files/xoscope-2.0-implicit_decls.patch
new file mode 100644
index 000000000000..473243f2ec15
--- /dev/null
+++ b/sci-electronics/xoscope/files/xoscope-2.0-implicit_decls.patch
@@ -0,0 +1,29 @@
+--- xoscope-2.0/proscope.c
++++ xoscope-2.0/proscope.c
+@@ -11,6 +11,7 @@
+ */
+
+ #include <stdio.h>
++#include <stdlib.h>
+ #include <unistd.h>
+ #include "proscope.h"
+ #include "oscope.h"
+--- xoscope-2.0/oscope.c
++++ xoscope-2.0/oscope.c
+@@ -408,7 +408,15 @@
+ */
+
+ displayed_samples = p->signal ? samples(p->signal->rate) : 0;
+- max_samples = p->signal ? max(samples(p->signal->rate), p->signal->num) : 0;
++ if ( p->signal ) {
++ if ( samples(p->signal->rate) > p->signal->num ) {
++ max_samples = samples(p->signal->rate);
++ } else {
++ max_samples = p->signal->num;
++ }
++ } else {
++ max_samples = 0;
++ }
+
+ if (c >= 'A' && c <= 'Z') {
+ if (p->signal) {
diff --git a/sci-electronics/xoscope/files/xoscope-2.0-man_no_-Tutf8.patch b/sci-electronics/xoscope/files/xoscope-2.0-man_no_-Tutf8.patch
new file mode 100644
index 000000000000..5cba9546e91d
--- /dev/null
+++ b/sci-electronics/xoscope/files/xoscope-2.0-man_no_-Tutf8.patch
@@ -0,0 +1,11 @@
+--- xoscope-2.0/acconfig.h
++++ xoscope-2.0/acconfig.h
+@@ -61,7 +61,7 @@
+ interactive response time as the X server becomes too busy */
+
+ /* bourne shell command for X11 Help ("man -Tutf8 xoscope 2>&1") */
+-#define HELPCOMMAND "man -Tutf8 xoscope 2>&1"
++#define HELPCOMMAND "man xoscope 2>&1"
+
+ /* default file name ("oscope.dat") */
+ #define FILENAME "oscope.dat"
diff --git a/sci-electronics/xoscope/files/xoscope-2.0-remove_bundled_gtkdatabox.patch b/sci-electronics/xoscope/files/xoscope-2.0-remove_bundled_gtkdatabox.patch
new file mode 100644
index 000000000000..3ae541011b3b
--- /dev/null
+++ b/sci-electronics/xoscope/files/xoscope-2.0-remove_bundled_gtkdatabox.patch
@@ -0,0 +1,205 @@
+--- xoscope-2.0/configure.in
++++ xoscope-2.0/configure.in
+@@ -17,7 +17,7 @@
+
+ PKG_CHECK_MODULES(GTK, gtk+-2.0 >= 2.2)
+
+-#PKG_CHECK_MODULES(GTKDATABOX, gtkdatabox)
++PKG_CHECK_MODULES(GTKDATABOX, gtkdatabox)
+ #AC_CONFIG_SUBDIRS(gtkdatabox-0.6.0.0)
+
+ dnl Set PACKAGE_DATA_DIR in config.h.
+@@ -106,5 +106,4 @@
+ AC_OUTPUT([
+ operl
+ Makefile
+-gtkdatabox-0.6.0.0/gtk/Makefile
+ ])
+--- xoscope-2.0/Makefile.am
++++ xoscope-2.0/Makefile.am
+@@ -40,9 +40,6 @@
+ comedisrc =
+ endif
+
+-GTKDATABOX_CFLAGS = -I $(top_srcdir)/gtkdatabox-0.6.0.0/gtk
+-GTKDATABOX_LIBS = $(top_builddir)/gtkdatabox-0.6.0.0/gtk/libgtkdatabox.a
+-
+ # Some bit of explaination is needed here for GUI_LIB. automake won't
+ # let us include source files in a subdirectory on a _SOURCES line,
+ # and we do want all the auto-magically generated glade stuff confined
+@@ -52,7 +49,6 @@
+ # trick used in things like comedi.c (see comments there) won't work
+ # for a library. So we put .o files in.
+
+-SUBDIRS = gtkdatabox-0.6.0.0/gtk
+ INCLUDES = @GTK_CFLAGS@ $(GTKDATABOX_CFLAGS)
+ GUI_LIB = callbacks.c callbacks.h interface.c interface.h support.c support.h
+
+--- xoscope-2.0/Makefile.in
++++ xoscope-2.0/Makefile.in
+@@ -232,8 +232,6 @@
+
+ @COMEDI_FALSE@comedisrc =
+ @COMEDI_TRUE@comedisrc = comedi.c
+-GTKDATABOX_CFLAGS = -I $(top_srcdir)/gtkdatabox-0.6.0.0/gtk
+-GTKDATABOX_LIBS = $(top_builddir)/gtkdatabox-0.6.0.0/gtk/libgtkdatabox.a
+
+ # Some bit of explaination is needed here for GUI_LIB. automake won't
+ # let us include source files in a subdirectory on a _SOURCES line,
+@@ -243,7 +241,6 @@
+ # they get built as one, because then the weak attribute override
+ # trick used in things like comedi.c (see comments there) won't work
+ # for a library. So we put .o files in.
+-SUBDIRS = gtkdatabox-0.6.0.0/gtk
+ INCLUDES = @GTK_CFLAGS@ $(GTKDATABOX_CFLAGS)
+ INCLUDES = @GTK_CFLAGS@
+ GUI_LIB = callbacks.c callbacks.h interface.c interface.h support.c support.h
+--- xoscope-2.0/display.c
++++ xoscope-2.0/display.c
+@@ -27,7 +27,7 @@
+ #include <gtkdatabox_points.h>
+ #include <gtkdatabox_lines.h>
+ #include <gtkdatabox_grid.h>
+-#include <gtkdatabox_marker.h>
++#include <gtkdatabox_markers.h>
+
+ extern GtkWidget *databox;
+
+--- xoscope-2.0/display.c
++++ xoscope-2.0/display.c
+@@ -56,7 +56,7 @@
+ gboolean clear_message_callback(gpointer ignored)
+ {
+ gtk_databox_graph_remove (GTK_DATABOX(databox), databox_message);
+- gtk_databox_redraw (GTK_DATABOX (databox));
++ gtk_widget_queue_draw (databox);
+ return FALSE;
+ }
+
+@@ -66,15 +66,15 @@
+ if (databox_message == NULL) {
+ GdkColor gcolor;
+ gcolor.red = gcolor.green = gcolor.blue = 65535;
+- databox_message = gtk_databox_marker_new(1, &databox_message_X,
++ databox_message = gtk_databox_markers_new(1, &databox_message_X,
+ &databox_message_Y, &gcolor, 0,
+- GTK_DATABOX_MARKER_NONE);
++ GTK_DATABOX_MARKERS_NONE);
+ }
+
+- gtk_databox_marker_set_label(GTK_DATABOX_MARKER(databox_message), 0,
+- GTK_DATABOX_TEXT_N, message, FALSE);
++ gtk_databox_markers_set_label(GTK_DATABOX_MARKERS(databox_message), 0,
++ GTK_DATABOX_MARKERS_TEXT_N, message, FALSE);
+ gtk_databox_graph_add (GTK_DATABOX(databox), databox_message);
+- gtk_databox_redraw (GTK_DATABOX (databox));
++ gtk_widget_queue_draw (databox);
+
+ g_timeout_add (2000, clear_message_callback, NULL);
+ }
+@@ -620,10 +620,10 @@
+ graticule_minor_graph = gtk_databox_grid_new (9, 9, &gcolor, 1);
+ graticule_major_graph = gtk_databox_grid_new (1, 1, &gcolor, 1);
+
+- gtk_databox_grid_set_line_style(GTK_DATABOX_GRID(graticule_major_graph),
+- GTK_DATABOX_GRID_SOLID_LINES);
+- gtk_databox_grid_set_line_style(GTK_DATABOX_GRID(graticule_minor_graph),
+- GTK_DATABOX_GRID_DOTTED_LINES);
++ //gtk_databox_grid_set_line_style(GTK_DATABOX_GRID(graticule_major_graph),
++ // GTK_DATABOX_GRID_SOLID_LINES);
++ //gtk_databox_grid_set_line_style(GTK_DATABOX_GRID(graticule_minor_graph),
++ // GTK_DATABOX_GRID_DOTTED_LINES);
+
+ recompute_graticule();
+ }
+@@ -675,7 +675,7 @@
+
+ void configure_databox(void)
+ {
+- GtkDataboxValue topleft, bottomright;
++ GtkDataboxValueRectangle rect;
+ gfloat upper_time_limit;
+ int j;
+
+@@ -723,24 +723,26 @@
+
+ /* Now set the total canvas size of the databox */
+
+- topleft.x = 0;
+- topleft.y = 1;
++ rect.x1 = 0;
++ rect.y1 = 1;
+
+- bottomright.x = total_horizontal_divisions
++ rect.x2 = total_horizontal_divisions
+ * 0.001 * (gfloat) scope.div / scope.scale;
+- bottomright.y = -1;
++ rect.y2 = -1;
+
+- gtk_databox_set_canvas(GTK_DATABOX(databox), topleft, bottomright);
++ gtk_databox_set_total_limits(GTK_DATABOX(databox),
++ rect.x1, rect.x2, rect.y1, rect.y2);
+
+ /* A slight adjustment gets us our visible area. Note that this
+ * call also resets the databox viewport to its left most position.
+ */
+
+- bottomright.x = 10 * 0.001 * (gfloat) scope.div / scope.scale;
+- gtk_databox_set_visible_canvas(GTK_DATABOX(databox), topleft, bottomright);
++ rect.x2 = 10 * 0.001 * (gfloat) scope.div / scope.scale;
++ gtk_databox_set_visible_limits(GTK_DATABOX(databox),
++ rect.x1, rect.x2, rect.y1, rect.y2);
+
+ /* Temporary message is always centered on screen */
+- databox_message_X = bottomright.x / 2;
++ databox_message_X = rect.x2 / 2;
+
+ /* Decide if we need a scrollbar or not */
+
+@@ -1208,7 +1210,7 @@
+ draw_graticule();
+ }
+
+- gtk_databox_redraw (GTK_DATABOX (databox));
++ gtk_widget_queue_draw (databox);
+ }
+
+ /* animate() - get and plot some data
+--- xoscope-2.0/gr_gtk.c
++++ xoscope-2.0/gr_gtk.c
+@@ -1049,7 +1049,7 @@
+ l = p->signal->delay * num / 10000;
+
+ if (scope.curs) {
+-#if 1
++#if 0
+ GtkDataboxCoord coord;
+ GtkDataboxValue value;
+ coord.x = event->x;
+@@ -1057,7 +1057,7 @@
+ value = gtk_databox_value_from_coord (GTK_DATABOX(databox), coord);
+ x = value.x;
+ #else
+- x = gtk_databox_pixel_to_value_x (databox, event->x);
++ x = gtk_databox_pixel_to_value_x (GTK_DATABOX(databox), event->x);
+ #endif
+ cursor = rintf((x - l) / num) + 1;
+ #if 0
+@@ -1205,7 +1205,7 @@
+
+ glade_window = create_main_window();
+
+- setup_help_text(glade_window);
++ //setup_help_text(glade_window);
+
+ #if 0
+ gtk_signal_connect(GTK_OBJECT(window), "delete_event",
+@@ -1217,7 +1217,7 @@
+ gtk_box_reorder_child(GTK_BOX(LU("vbox1")), menubar, 0);
+ gtk_widget_show(menubar);
+
+- gtk_databox_set_hadjustment (GTK_DATABOX (databox),
++ gtk_databox_set_adjustment_x (GTK_DATABOX (databox),
+ gtk_range_get_adjustment (GTK_RANGE (LU("databox_hscrollbar"))));
+
+ gtk_widget_show(glade_window);
diff --git a/sci-electronics/xoscope/metadata.xml b/sci-electronics/xoscope/metadata.xml
new file mode 100644
index 000000000000..87e1ee6b49ae
--- /dev/null
+++ b/sci-electronics/xoscope/metadata.xml
@@ -0,0 +1,12 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!DOCTYPE pkgmetadata SYSTEM "http://www.gentoo.org/dtd/metadata.dtd">
+<pkgmetadata>
+ <herd>sci-electronics</herd>
+ <maintainer>
+ <email>xmw@gentoo.org</email>
+ <name>Michael Weber</name>
+ </maintainer>
+ <upstream>
+ <remote-id type="sourceforge">xoscope</remote-id>
+ </upstream>
+</pkgmetadata>
diff --git a/sci-electronics/xoscope/xoscope-2.0.ebuild b/sci-electronics/xoscope/xoscope-2.0.ebuild
new file mode 100644
index 000000000000..321c231b5892
--- /dev/null
+++ b/sci-electronics/xoscope/xoscope-2.0.ebuild
@@ -0,0 +1,38 @@
+# Copyright 1999-2013 Gentoo Foundation
+# Distributed under the terms of the GNU General Public License v2
+# $Id$
+
+EAPI=5
+
+inherit autotools eutils linux-info
+
+DESCRIPTION="Soundcard Oscilloscope for X"
+HOMEPAGE="http://xoscope.sourceforge.net"
+SRC_URI="mirror://sourceforge/${PN}/${P}.tgz"
+
+LICENSE="GPL-2"
+SLOT="0"
+KEYWORDS="amd64 x86"
+IUSE=""
+
+RDEPEND="x11-libs/gtkdatabox
+ virtual/man"
+DEPEND="${RDEPEND}
+ virtual/pkgconfig"
+
+CONFIG_CHECK="~!SND_PCM_OSS"
+ERROR_SND_PCM_OSS="CONFIG_SND_PCM_OSS is needed to support sound card input via /dev/dsp"
+
+src_prepare() {
+ epatch \
+ "${FILESDIR}"/${P}-remove_bundled_gtkdatabox.patch \
+ "${FILESDIR}"/${P}-man_no_-Tutf8.patch \
+ "${FILESDIR}"/${P}-implicit_decls.patch \
+ "${FILESDIR}"/${P}-comedi_compile.patch
+
+ eautoreconf
+}
+
+src_compile() {
+ emake -j1
+}